f4pga / f4pga-arch-defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
☆280Updated this week
Alternatives and similar repositories for f4pga-arch-defs:
Users that are interested in f4pga-arch-defs are comparing it to the libraries listed below
- Example designs showing different ways to use F4PGA toolchains.☆272Updated 10 months ago
- FOSS Flow For FPGA☆369Updated last month
- SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows☆426Updated last week
- VHDL synthesis (based on ghdl)☆320Updated this week
- Small footprint and configurable DRAM core☆390Updated last month
- A huge VHDL library for FPGA development☆372Updated this week
- An abstraction library for interfacing EDA tools☆663Updated last week
- SystemVerilog to Verilog conversion☆590Updated this week
- Test suite designed to check compliance with the SystemVerilog standard.☆306Updated this week
- Caravel is a standard SoC template with on chip resources to control and read/write operations from a user-dedicated space.☆312Updated last week
- Experimental flows using nextpnr for Xilinx devices☆225Updated 4 months ago
- SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compil…☆381Updated 2 months ago
- Multi-platform nightly builds of open source FPGA tools☆294Updated 3 years ago
- OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...☆235Updated last week
- UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of …☆385Updated this week
- Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, …☆209Updated 3 months ago
- VeeR EL2 Core☆263Updated this week
- IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architecture, Faculty of Computer Science, Techn…☆568Updated 4 years ago
- A simple, basic, formally verified UART controller☆288Updated last year
- Bus bridges and other odds and ends☆520Updated 2 weeks ago
- VHDL library 4 FPGAs☆169Updated 2 weeks ago
- Documenting the Lattice ECP5 bit-stream format.☆405Updated last month
- FuseSoC-based SoC for VeeR EH1 and EL2☆306Updated 2 months ago
- Common SystemVerilog components☆572Updated 2 weeks ago
- A simple RISC-V processor for use in FPGA designs.☆267Updated 6 months ago
- Qflow full end-to-end digital synthesis flow for ASIC designs☆198Updated 3 months ago
- CORE-V Family of RISC-V Cores☆229Updated last week
- A list of resources related to the open-source FPGA projects☆397Updated 2 years ago
- Silicon-validated SoC implementation of the PicoSoc/PicoRV32☆264Updated 4 years ago
- FuseSoC standard core library☆126Updated 3 weeks ago