NTU-LaDS-II / FAN_ATPG
FAN (fan-out-oriented) ATPG (Automatic Test Pattern Generation) and Fault Simulation command line tool
☆83Updated last year
Alternatives and similar repositories for FAN_ATPG:
Users that are interested in FAN_ATPG are comparing it to the libraries listed below
- A collection of ISCAS,ITC,TAU and other Benchmark Circuits for EDA tool evaluation.☆43Updated 2 months ago
- ASIC Design Kit for FreePDK45 + Nangate for use with mflowgen☆164Updated 5 years ago
- Introductory course into static timing analysis (STA).☆86Updated 4 months ago
- reference block design for the ASAP7nm library in Cadence Innovus☆39Updated 8 months ago
- EPFL and ISCAS85 combinational benchmark circuits in generic gate verilog☆26Updated 5 years ago
- AMF-Placer 2.0: An open-source timing-driven analytical mixed-size FPGA placer of heterogeneous resources (LUT/FF/LUTRAM/MUX/CARRY/DSP/BR…☆99Updated last year
- ☆135Updated 7 months ago
- ☆22Updated 8 months ago
- This is a tutorial on standard digital design flow☆74Updated 3 years ago
- IDEA project source files☆103Updated 4 months ago
- This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of raven_soc which was taped-out by Efables…☆30Updated 4 years ago
- An Open-Source Analytical Placer for Large Scale Heterogeneous FPGAs using Deep-Learning Toolkit☆79Updated 2 months ago
- Atalanta is a modified ATPG (Automatic Test Pattern Generation) tool and fault simulator, orginally from VirginiaTech University.☆78Updated 10 months ago
- A Logic Synthesis tool based on "Mockturtle: EPFL Logic Synthesis Library " and "ABC: System for Sequential Logic Synthesis and Formal Ve…☆25Updated this week
- ☆39Updated 11 months ago
- MasterRTL: A Pre-Synthesis PPA Estimation Framework for Any RTL Design☆42Updated 5 months ago
- The project is about building an 8-row by 8-bit 6T SRAM memory array, & a 3-to-8 decoder that's used to access the SRAM array. The layout…☆70Updated 2 years ago
- ☆60Updated 2 weeks ago
- Collection of digital hardware modules & projects (benchmarks)☆46Updated 3 months ago
- Physical Design Flow from RTL to GDS using Opensource tools.☆93Updated 4 years ago
- AutoSVA is a tool to automatically generate formal testbenches for unit-level RTL verification. The goal is to, based on annotations made…☆76Updated 11 months ago
- 🕹 OpenPARF: An Open-Source Placement and Routing Framework for Large-Scale Heterogeneous FPGAs with Deep Learning Toolkit☆138Updated 2 weeks ago
- Open Source tool to build liberty files and for Characterizing Standard Cells.☆25Updated 4 years ago
- An integrated CGRA design framework☆86Updated 4 months ago
- A Standalone Structural Verilog Parser☆89Updated 2 years ago
- ☆41Updated 6 months ago
- Material for OpenROAD Tutorial at DAC 2020☆46Updated 2 years ago
- Design of 1024x32 SRAM (32Kbits) using OpenRAM and SKY130 PDKs with operating voltage of 1.8V and access time < 2.5ns☆66Updated 3 years ago
- A verilog implementation for Network-on-Chip☆73Updated 7 years ago
- ☆103Updated 5 years ago