sanketkkeni / PODEM-Algorithm-implementation
An ATPG tool using PODEM algorithm in C++ that generates a test to detect any given list of Single-Stuck-at Faults
☆11Updated 7 years ago
Alternatives and similar repositories for PODEM-Algorithm-implementation:
Users that are interested in PODEM-Algorithm-implementation are comparing it to the libraries listed below
- FAN (fan-out-oriented) ATPG (Automatic Test Pattern Generation) and Fault Simulation command line tool☆86Updated last year
- This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of raven_soc which was taped-out by Efables…☆31Updated 4 years ago
- reference block design for the ASAP7nm library in Cadence Innovus☆41Updated 10 months ago
- This repository is dedicated to providing a comprehensive guide and practical examples for using VC Formal for formal verification. Our g…☆26Updated last year
- Combinational ATPG generator based on D-Algorithm☆15Updated 4 years ago
- General Purpose AXI Direct Memory Access☆49Updated 11 months ago
- Automatic Test Pattern Generation using PODEM algorithm☆13Updated 10 years ago
- SAT-based ATPG using TG-Pro model☆16Updated 6 years ago
- ☆50Updated 2 years ago
- This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of ORCA which was taped-out by NTI.☆16Updated last year
- ☆31Updated 5 years ago
- A collection of commonly asked RTL design interview questions☆27Updated 7 years ago
- This repository presents ASIC design flow for UART utilizing RTL to GDS implementation This has been simulated on VCS and has been impl…☆18Updated 11 months ago
- In this repository, I have shared the codes for designs and testbenches, Elaborated Design and Simulation Output for each block of RISC-V…☆9Updated 7 months ago
- Introductory course into static timing analysis (STA).☆90Updated 5 months ago
- ☆74Updated 10 years ago
- Some useful documents of Synopsys☆70Updated 3 years ago
- In this tutorial, you learn how to implement a design from RTL-to-GDSII using Cadence® tools.☆57Updated last year
- Assertion-Based Formal Verification of an AHB2APB bridge, featuring SystemVerilog assertions, RTL designs, and detailed documentation inc…☆15Updated last year
- A verilog implementation for Network-on-Chip☆73Updated 7 years ago
- Base on Synopsys platform using VCS,DC,ICC,PT.☆11Updated 3 years ago
- EE577b-Course-Project☆16Updated 4 years ago
- 自建 chisel 工程模板☆12Updated last year
- ☆19Updated 2 years ago
- System Verilog and Emulation. Written all the five channels.☆34Updated 8 years ago
- verification of simple axi-based cache☆18Updated 5 years ago
- 32 - bit floating point Multiplier Accumulator Unit (MAC)☆30Updated 4 years ago
- HDL code for a DDR4 memory controller implementing an Open Page Policy and Out of Order execution.☆74Updated 7 years ago
- Asynchronous fifo in verilog☆33Updated 9 years ago
- ☆13Updated 2 years ago