ferrandi / PandA-bambuLinks
PandA-bambu public repository
☆302Updated last week
Alternatives and similar repositories for PandA-bambu
Users that are interested in PandA-bambu are comparing it to the libraries listed below
Sorting:
- SystemC/C++ library of commonly-used hardware functions and components for HLS.☆288Updated 2 months ago
- Build Customized FPGA Implementations for Vivado☆352Updated last week
- eXtendable Heterogeneous Energy-Efficient Platform based on RISC-V☆232Updated last week
- mflowgen -- A Modular ASIC/FPGA Flow Generator☆275Updated 3 weeks ago
- OpenCGRA is an open-source framework for modeling, testing, and evaluating CGRAs.☆164Updated 2 years ago
- ☆87Updated last year
- Hammer: Highly Agile Masks Made Effortlessly from RTL☆308Updated 2 months ago
- CGRA-Flow is an integrated framework for CGRA compilation, exploration, synthesis, and development.☆149Updated this week
- Embedded Scalable Platforms: Heterogeneous SoC architecture and IP integration made easy☆395Updated 2 months ago
- This tool translates synthesizable SystemC code to synthesizable SystemVerilog.☆298Updated last week
- DRAMSys a SystemC TLM-2.0 based DRAM simulator.☆325Updated 2 weeks ago
- An open source high level synthesis (HLS) tool built on top of LLVM☆127Updated last year
- A Fast, Low-Overhead On-chip Network☆257Updated 2 weeks ago
- Vitis HLS LLVM source code and examples☆403Updated 3 months ago
- ASIC Design Kit for FreePDK45 + Nangate for use with mflowgen☆196Updated 5 years ago
- A collection of extensions for Vitis and Intel FPGA OpenCL to improve developer quality of life.☆332Updated 11 months ago
- [FPGA 2022, Best Paper Award] Parallel placement and routing of Vivado HLS dataflow designs.☆127Updated 3 years ago
- [FPGA 2021, Best Paper Award] An automated floorplanning and pipelining tool for Vivado HLS.☆127Updated 2 years ago
- Pymtl 3 (Mamba), an open-source Python-based hardware generation, simulation, and verification framework☆438Updated 4 months ago
- TAPA compiles task-parallel HLS program into high-performance FPGA accelerators. UCLA-maintained.☆176Updated 4 months ago
- magma circuits☆263Updated last year
- Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation☆230Updated this week
- RaveNoC is a configurable HDL NoC (Network-On-Chip) suitable for MPSoCs and different MP applications☆185Updated last year
- Examples shown as part of the tutorial "Productive parallel programming on FPGA with high-level synthesis".☆204Updated 4 years ago
- high-performance RTL simulator☆184Updated last year
- A Chisel RTL generator for network-on-chip interconnects☆223Updated last month
- Network on Chip Simulator☆297Updated 2 months ago
- Python-based hardware modeling framework☆245Updated 6 years ago
- Tile based architecture designed for computing efficiency, scalability and generality☆275Updated 3 months ago
- A dynamic verification library for Chisel.☆159Updated last year