ECASLab / cynq
PYNQ bindings for C and C++ to avoid requiring Python or Vitis to execute hardware acceleration.
☆24Updated 3 weeks ago
Alternatives and similar repositories for cynq:
Users that are interested in cynq are comparing it to the libraries listed below
- DaCH: dataflow cache for high-level synthesis.☆16Updated last year
- HLSFactory: A Framework Empowering High-Level Synthesis Datasets for Machine Learning and Beyond☆34Updated last week
- Simple examples for FPGA design using Vivado HLS for high level synthesis and Vivado for bitstream generation.☆30Updated 4 years ago
- An Open Workflow to Build Custom SoCs and run Deep Models at the Edge☆76Updated 2 months ago
- ☆59Updated last year
- An Open-Hardware CGRA for accelerated computation on the edge.☆24Updated 7 months ago
- AIM: Accelerating Arbitrary-precision Integer Multiplication on Heterogeneous Reconfigurable Computing Platform Versal ACAP (Full Paper a…☆22Updated 3 weeks ago
- SAURIA (Systolic-Array tensor Unit for aRtificial Intelligence Acceleration) is an open-source Convolutional Neural Network accelerator b…☆39Updated 6 months ago
- An FPGA accelerator for general-purpose Sparse-Matrix Dense-Matrix Multiplication (SpMM).☆78Updated 9 months ago
- A collection of tutorials for the fpgaConvNet framework.☆39Updated 7 months ago
- High-Performance Sparse Linear Algebra on HBM-Equipped FPGAs Using HLS☆90Updated 7 months ago
- ☆49Updated last month
- AMD University Program HLS tutorial☆90Updated 5 months ago
- ☆71Updated 2 years ago
- A survey on Hardware Accelerated LLMs☆50Updated 3 months ago
- ☆23Updated 2 years ago
- ☆90Updated 10 months ago
- [FPGA'21] Microbenchmarks for Demystifying the Memory System of Modern Datacenter FPGAs for Software Programmers☆30Updated 3 years ago
- 16-bit Adder Multiplier hardware on Digilent Basys 3☆71Updated last year
- PYNQ Composabe Overlays☆71Updated 10 months ago
- This is a verilog implementation of 4x4 systolic array multiplier☆50Updated 4 years ago
- A low power platform based on X-HEEP and integrating the ESL-CGRA☆14Updated 6 months ago
- A fast, accurate trace-based simulator for High-Level Synthesis.☆44Updated last month
- ☆57Updated 4 years ago
- C API drivers for PYNQ FPGA board☆36Updated last year
- Library of approximate arithmetic circuits☆53Updated 2 years ago
- HLS implemented systolic array structure☆41Updated 7 years ago
- Contains FPGA benchmarks for Vivado HLS and Catapult HLS☆25Updated 4 years ago
- ☆35Updated last month
- Systolic matrix multiplication kernel implemented on Xilinx PYNQ FPGA board☆14Updated 4 years ago