ECASLab / cynq
PYNQ bindings for C and C++ to avoid requiring Python or Vitis to execute hardware acceleration.
☆23Updated 7 months ago
Alternatives and similar repositories for cynq:
Users that are interested in cynq are comparing it to the libraries listed below
- Simple examples for FPGA design using Vivado HLS for high level synthesis and Vivado for bitstream generation.☆28Updated 4 years ago
- An Open Workflow to Build Custom SoCs and run Deep Models at the Edge☆72Updated 2 weeks ago
- ☆53Updated last year
- Contains FPGA benchmarks for Vivado HLS and Catapult HLS☆26Updated 4 years ago
- HLSFactory: A Framework Empowering High-Level Synthesis Datasets for Machine Learning and Beyond☆28Updated 3 weeks ago
- DaCH: dataflow cache for high-level synthesis.☆15Updated last year
- AIM: Accelerating Arbitrary-precision Integer Multiplication on Heterogeneous Reconfigurable Computing Platform Versal ACAP (Full Paper a…☆22Updated 4 months ago
- SAURIA (Systolic-Array tensor Unit for aRtificial Intelligence Acceleration) is an open-source Convolutional Neural Network accelerator b…☆28Updated 4 months ago
- [FPGA'21] Microbenchmarks for Demystifying the Memory System of Modern Datacenter FPGAs for Software Programmers☆30Updated 3 years ago
- C API drivers for PYNQ FPGA board☆33Updated last year
- A survey on Hardware Accelerated LLMs☆45Updated last month
- An Open-Hardware CGRA for accelerated computation on the edge.☆16Updated 5 months ago
- ☆83Updated 8 months ago
- tpu-systolic-array-weight-stationary☆20Updated 3 years ago
- ☆12Updated 8 months ago
- Ratatoskr NoC Simulator☆24Updated 3 years ago
- The Verilog source code for DRUM approximate multiplier.☆29Updated last year
- PYNQ Composabe Overlays☆70Updated 8 months ago
- ☆71Updated 2 years ago
- NoC (Network-on-Chip) generator that generates Verilog HDL model of NoC consisting of on-chip routers☆59Updated 5 years ago
- Library of approximate arithmetic circuits☆53Updated 2 years ago
- ☆60Updated 6 years ago
- ☆29Updated 5 years ago
- An FPGA accelerator for general-purpose Sparse-Matrix Dense-Matrix Multiplication (SpMM).☆77Updated 6 months ago
- High-Performance Sparse Linear Algebra on HBM-Equipped FPGAs Using HLS☆84Updated 4 months ago
- Network on-Chip (NoC) simulator for simulating intra-chip data flow in Neural Network Accelerator☆22Updated last year
- This is forked from Xilinx HLS-Tiny-Tutorial. I'm learning HLS and adding Verilator testbench to verify the generated RTL☆25Updated 3 years ago
- ☆24Updated 5 years ago
- BlackParrot on Zynq☆27Updated last month