Ravenslofty / librecell
☆20Updated 3 years ago
Alternatives and similar repositories for librecell:
Users that are interested in librecell are comparing it to the libraries listed below
- ☆38Updated 10 months ago
- Intel's Analog Detailed Router☆38Updated 5 years ago
- ☆17Updated last year
- ☆41Updated 5 years ago
- A Standalone Structural Verilog Parser☆86Updated 2 years ago
- SKY130 SRAM macros generated by SRAM 22☆12Updated this week
- ☆58Updated this week
- Delay Calculation ToolKit☆27Updated 2 years ago
- fakeram generator for use by researchers who do not have access to commercial ram generators☆35Updated 2 years ago
- Design of Analog Blocks in Skywaters 130nm meeting corners: different flavors of OTA, BandGap, LDO.☆26Updated 2 years ago
- An Open-Source Analytical Placer for Large Scale Heterogeneous FPGAs using Deep-Learning Toolkit☆79Updated last month
- ☆28Updated 3 years ago
- ☆33Updated 5 years ago
- A Fast C++ Header-only Parser for Standard Parasitic Exchange Format (SPEF).☆55Updated 2 years ago
- Material for OpenROAD Tutorial at DAC 2020☆46Updated 2 years ago
- A LEF/DEF Utility.☆27Updated 5 years ago
- Collection of digital hardware modules & projects (benchmarks)☆42Updated 3 months ago
- Open source process design kit for 28nm open process☆48Updated 10 months ago
- EDA physical synthesis optimization kit☆50Updated last year
- A collection of ISCAS,ITC,TAU and other Benchmark Circuits for EDA tool evaluation.☆43Updated last month
- Open Source tool to build liberty files and for Characterizing Standard Cells.☆24Updated 4 years ago
- reference block design for the ASAP7nm library in Cadence Innovus☆38Updated 8 months ago
- AMF-Placer 2.0: An open-source timing-driven analytical mixed-size FPGA placer of heterogeneous resources (LUT/FF/LUTRAM/MUX/CARRY/DSP/BR…☆99Updated 11 months ago
- Parametric layout generator for digital, analog and mixed-signal integrated circuits☆53Updated this week
- AutoCellLibX: Automated Standard Cell Library Extension Based on Pattern Mining☆12Updated 2 years ago
- ☆20Updated 3 years ago
- A parallel global router using the Galois framework☆27Updated last year
- This is a tutorial on standard digital design flow☆73Updated 3 years ago
- Circuit release of the MAGICAL project☆31Updated 5 years ago
- Source codes and calibration scripts for clock tree synthesis☆40Updated 5 years ago