lip6 / coriolis
Coriolis VLSI EDA Tool (LIP6)
☆62Updated last month
Alternatives and similar repositories for coriolis:
Users that are interested in coriolis are comparing it to the libraries listed below
- ☆37Updated 3 weeks ago
- Plugins for Yosys developed as part of the F4PGA project.☆80Updated 10 months ago
- Qrouter detail router for digital ASIC designs☆56Updated 5 months ago
- ☆46Updated last month
- An automatic clock gating utility☆45Updated 8 months ago
- Library of open source Process Design Kits (PDKs)☆36Updated this week
- A SystemVerilog source file pickler.☆55Updated 5 months ago
- BAG framework☆40Updated 7 months ago
- Conda recipes for FPGA EDA tools for simulation, synthesis, place and route and bitstream generation.☆100Updated last month
- SystemVerilog frontend for Yosys☆80Updated this week
- ☆36Updated 2 years ago
- ☆31Updated 2 months ago
- ☆49Updated last month
- Netgen complete LVS tool for comparing SPICE or verilog netlists☆113Updated last week
- ☆53Updated last year
- Create fast and efficient standard cell based adders, multipliers and multiply-adders.☆111Updated last year
- XSCHEM symbol libraries for the Google-Skywater 130nm process design kit.☆60Updated this week
- Mutation Cover with Yosys (MCY)☆80Updated last week
- Small SERV-based SoC primarily for OpenMPW tapeout☆40Updated 3 months ago
- ☆31Updated last year
- Builds, flow and designs for the alpha release☆54Updated 5 years ago
- Open-source repository for a standard-cell library characterizer using complete open-source tools☆26Updated 2 weeks ago
- Version manager (and builder) for the Google sky130 and gf180mcu open-source PDKs☆64Updated last month
- Workshop on Open-Source EDA Technology (WOSET)☆49Updated 4 months ago
- A collection of big designs to run post-synthesis simulations with yosys☆49Updated 9 years ago
- A tool that converts SystemVerilog to Verilog. Uses Design Compiler, so it is 100% compatible.☆39Updated last year
- Structural Netlist API (and more) for EDA post synthesis flow development☆92Updated 2 weeks ago
- ☆41Updated 5 years ago
- Index of the fully open source process design kits (PDKs) maintained by Google for GlobalFoundries technologies.☆48Updated 2 years ago
- Prefix tree adder space exploration library☆57Updated 4 months ago