ECASLab / AxLS
AxLS: An Open-Source Framework for Netlist Transformation Approximate Logic Synthesis
☆9Updated 3 weeks ago
Alternatives and similar repositories for AxLS:
Users that are interested in AxLS are comparing it to the libraries listed below
- A collection of ISCAS,ITC,TAU and other Benchmark Circuits for EDA tool evaluation.☆43Updated 2 months ago
- EPFL and ISCAS85 combinational benchmark circuits in generic gate verilog☆26Updated 5 years ago
- A Logic Synthesis tool based on "Mockturtle: EPFL Logic Synthesis Library " and "ABC: System for Sequential Logic Synthesis and Formal Ve…☆25Updated this week
- ☆22Updated 8 months ago
- Collection of digital hardware modules & projects (benchmarks)☆46Updated 3 months ago
- reference block design for the ASAP7nm library in Cadence Innovus☆39Updated 8 months ago
- FAN (fan-out-oriented) ATPG (Automatic Test Pattern Generation) and Fault Simulation command line tool☆83Updated last year
- ☆135Updated 7 months ago
- Open Source tool to build liberty files and for Characterizing Standard Cells.☆25Updated 4 years ago
- Research paper based on or related to ABC.☆28Updated 2 weeks ago
- This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of raven_soc which was taped-out by Efables…☆30Updated 4 years ago
- Re-host of ISCAS89 sequential benchmark circuits in higher level verilog (without "DFF")☆15Updated 3 years ago
- ☆41Updated 6 months ago
- IDEA project source files☆103Updated 4 months ago
- Educational Design Kit for Synopsys Tools with a set of Characterized Standard Cell Library☆32Updated 3 years ago
- AutoSVA is a tool to automatically generate formal testbenches for unit-level RTL verification. The goal is to, based on annotations made…☆76Updated 11 months ago
- ☆39Updated 11 months ago
- GPU-based logic synthesis tool☆81Updated 7 months ago
- An Open-Source Analytical Placer for Large Scale Heterogeneous FPGAs using Deep-Learning Toolkit☆79Updated 2 months ago
- ☆60Updated 2 weeks ago
- SKY130 SRAM macros generated by SRAM 22☆12Updated 2 weeks ago
- ITC'99 benchmarks developed in the CAD Group at Politecnico di Torino☆51Updated last year
- This is a tutorial on standard digital design flow☆74Updated 3 years ago
- ☆25Updated 10 months ago
- Introductory course into static timing analysis (STA).☆86Updated 4 months ago
- Generating Hardware Verification Assertions from Design Specifications via Multi-LLMs☆23Updated 4 months ago
- ☆20Updated 3 years ago
- Python Tool for UVM Testbench Generation☆50Updated 9 months ago
- Generator of arithmetic circuits (multipliers, adders) and approximate circuits☆31Updated last month
- Static Timing Analysis Full Course☆49Updated 2 years ago