jianyicheng / HLS-benchmarks
Benchmarks for High-Level Synthesis
☆10Updated last year
Related projects ⓘ
Alternatives and complementary repositories for HLS-benchmarks
- Benchmarks, testbenches, and transformed codes for high-level synthesis research☆13Updated 7 years ago
- ☆26Updated 7 years ago
- A SystemC + DRAMSim2 simulator for exploring the SpMV hardware accelerator design space.☆14Updated 10 years ago
- ☆12Updated 2 years ago
- ☆13Updated last year
- DASS HLS Compiler☆27Updated last year
- A GPU acceleration flow for RTL simulation with batch stimulus☆93Updated 7 months ago
- An example of using Ramulator as memory model in a cycle-accurate SystemC Design☆46Updated 7 years ago
- FPU Generator☆20Updated 3 years ago
- Hardware Accelerators (HwAs) constructed in Vivado HLS☆18Updated 7 years ago
- Circuit-level model for the Capacity-Latency Reconfigurable DRAM (CLR-DRAM) architecture. This repository contains the SPICE models of th…☆12Updated 4 years ago
- OpenDesign Flow Database☆16Updated 6 years ago
- CHIPKIT: An agile, reusable open-source framework for rapid test chip development☆39Updated 4 years ago
- Dynamically Reconfigurable Architecture Template and Cycle-level Microarchitecture Simulator for Dataflow AcCelerators☆28Updated last year
- PAAS: A System Level Simulator for Heterogeneous (CPU-FPGA) Computing Systems☆43Updated 3 years ago
- Contains FPGA benchmarks for Vivado HLS and Catapult HLS☆24Updated 4 years ago
- An LLVM pass to prove that an II works for the given loop for Vitis HLS☆11Updated 3 years ago
- A collection of tools for working with Chisel-generated hardware in SystemC☆16Updated 5 years ago
- Digital Hardware Modelling using VHDL, Verilog, SystemVerilog, SystemC, HLS(C++, OpenCL)☆59Updated 3 years ago
- Implementation of the Advanced Encryption Standard in Chisel☆20Updated 2 years ago
- Fast Symbolic Repair of Hardware Design Code☆18Updated 6 months ago
- Approximate arithmetic circuits for FPGAs☆11Updated 4 years ago
- ☆22Updated 5 years ago
- AutoSVA is a tool to automatically generate formal testbenches for unit-level RTL verification. The goal is to, based on annotations made…☆73Updated 7 months ago
- LIS Network-on-Chip Implementation☆29Updated 8 years ago
- Ratatoskr NoC Simulator☆21Updated 3 years ago
- ☆39Updated 4 years ago
- SForum 2020 : "A Run-time Hardware Routing Implementation for CGRA Overlays" code and data.☆11Updated 4 years ago
- Hardware Formal Verification☆15Updated 4 years ago
- A synthesis flow for hybrid processing-in-RRAM modes☆12Updated 3 years ago