Reconfigurable-Computing / HLStoFPGA
☆12Updated 2 years ago
Alternatives and similar repositories for HLStoFPGA:
Users that are interested in HLStoFPGA are comparing it to the libraries listed below
- Wraps the NVDLA project for Chipyard integration☆19Updated last week
- An Open-Source SCAlable Interface for ISA Extensionsfor RISC-V Processors. New Version:☆14Updated last year
- ☆15Updated 2 years ago
- General Purpose Graphics Processing Unit (GPGPU) IP Core☆11Updated 10 years ago
- Custom extensions to the RISC-V isa simulator for the UCB-BAR ESP project☆17Updated 2 years ago
- RISC-V Rocket Chip Strap-on-Booster with Fused Universal Neural Network (FuNN) eNNgine☆22Updated 3 years ago
- Fast Floating Point Operators for High Level Synthesis☆20Updated 2 years ago
- ☆24Updated last month
- RISCV-VP++ is a extended and improved successor of the RISC-V based Virtual Prototype (VP) RISC-V VP. It is maintained at the Institute f…☆31Updated last month
- DASS HLS Compiler☆29Updated last year
- The Next-gen Language & Compiler Powering Efficient Hardware Design☆25Updated 2 months ago
- An open source SDR SDRAM controller based on the AXI4 bus and verified by FPGA and tapeout. It can support memory particles of different …☆16Updated 2 weeks ago
- Meta-Repository for Bespoke Silicon Group's Manycore Architecture (A.K.A HammerBlade)☆39Updated last week
- CNN accelerator☆28Updated 7 years ago
- Example design for the Ethernet FMC using an FPGA based hardware packet generator/checker to demonstrate maximum throughput☆11Updated 4 months ago
- Benchmarks for High-Level Synthesis☆10Updated 2 years ago
- This repository is outdated and the related functionality has been migrated to https://github.com/easysoc/easysoc-firrtl☆11Updated 3 years ago
- TAPA is a dataflow HLS framework that features fast compilation, expressive programming model and generates high-frequency FPGA accelerat…☆19Updated 7 months ago
- ☆32Updated last week
- HLS for Networks-on-Chip☆33Updated 4 years ago
- The 3rd Iteration of the Berkeley RISC-V DMA Accelerator☆27Updated 5 years ago
- TensorCore Vector Processor for Deep Learning - Google Summer of Code Project☆22Updated 3 years ago
- corundum work on vu13p☆18Updated last year
- The RTL source for AnyCore RISC-V☆31Updated 3 years ago
- RISCV core RV32I/E.4 threads in a ring architecture☆32Updated last year
- Contains FPGA benchmarks for Vivado HLS and Catapult HLS☆25Updated 4 years ago
- Implementation of the Snappy compression algorithm as a RoCC accelerator☆11Updated 5 years ago
- LIS Network-on-Chip Implementation☆29Updated 8 years ago
- Benchmarks, testbenches, and transformed codes for high-level synthesis research☆13Updated 7 years ago
- FPGA acceleration of arbitrary precision floating point computations.☆38Updated 2 years ago