RTimothyEdwards / qrouter
Qrouter detail router for digital ASIC designs
☆56Updated 5 months ago
Alternatives and similar repositories for qrouter:
Users that are interested in qrouter are comparing it to the libraries listed below
- Netgen complete LVS tool for comparing SPICE or verilog netlists☆114Updated 2 weeks ago
- BAG framework☆40Updated 7 months ago
- ☆62Updated this week
- KLayout technology files for Skywater SKY130☆39Updated last year
- AMC: Asynchronous Memory Compiler☆48Updated 4 years ago
- Tatum: A Fast, Flexible Static Timing Analysis (STA) Engine for Digital Circuits☆56Updated 9 months ago
- ☆110Updated 4 years ago
- Builds, flow and designs for the alpha release☆54Updated 5 years ago
- IO and Pin Placer for Floorplan-Placement Subflow☆22Updated 4 years ago
- XSCHEM symbol libraries for the Google-Skywater 130nm process design kit.☆60Updated this week
- A Standalone Structural Verilog Parser☆89Updated 2 years ago
- Plugins for Yosys developed as part of the F4PGA project.☆82Updated 10 months ago
- ☆31Updated last year
- ☆37Updated 3 weeks ago
- ☆41Updated 5 years ago
- IDEA project source files☆104Updated 4 months ago
- Conda recipes for FPGA EDA tools for simulation, synthesis, place and route and bitstream generation.☆100Updated last month
- An automatic clock gating utility☆45Updated 8 months ago
- A complete open-source design-for-testing (DFT) Solution☆146Updated 4 months ago
- SystemVerilog frontend for Yosys☆80Updated this week
- Logic synthesis and ABC based optimization☆49Updated last week
- Source codes and calibration scripts for clock tree synthesis☆40Updated 5 years ago
- UCSD Detailed Router☆84Updated 4 years ago
- LEF/DEF-based port of Iowa State's open-source FastRoute 4.1☆54Updated 4 years ago
- ☆53Updated last year
- RippleFPGA, A Simultaneous Pack-and-Place Algorithm for UltraScale FPGA☆90Updated 5 years ago
- Fork from https://sourceforge.net/projects/gds3d☆68Updated 9 months ago
- ☆46Updated last month
- Coriolis VLSI EDA Tool (LIP6)☆62Updated last month
- Introductory course into static timing analysis (STA).☆88Updated 4 months ago