mohsaied / rtl2booksim
Network-on-Chip simulator (Booksim) with hooks for co-simulating RTL designs in Verilog.
☆20Updated 9 years ago
Alternatives and similar repositories for rtl2booksim:
Users that are interested in rtl2booksim are comparing it to the libraries listed below
- Ratatoskr NoC Simulator☆24Updated 3 years ago
- cycle accurate Network-on-Chip Simulator☆27Updated last year
- ☆26Updated 5 years ago
- An example of using Ramulator as memory model in a cycle-accurate SystemC Design☆49Updated 7 years ago
- HLS for Networks-on-Chip☆33Updated 4 years ago
- NOCulator is a network-on-chip simulator providing cycle-accurate performance models for a wide variety of networks (mesh, torus, ring, h…☆23Updated 2 years ago
- SAURIA (Systolic-Array tensor Unit for aRtificial Intelligence Acceleration) is an open-source Convolutional Neural Network accelerator b…☆35Updated 5 months ago
- Implementation of paper "GraphACT: Accelerating GCN Training on CPU-FPGA Heterogeneous Platform".☆10Updated 4 years ago
- A list of our chiplet simulaters☆31Updated 3 years ago
- A Scalable BFS Accelerator on FPGA-HBM Platform☆14Updated last year
- ☆35Updated 3 years ago
- ☆12Updated 9 months ago
- ☆25Updated last year
- Contains FPGA benchmarks for Vivado HLS and Catapult HLS☆26Updated 4 years ago
- Template-based Reconfigurable Architecture Modeling Framework☆14Updated 2 years ago
- Binary Single Precision Floating-point Fused Multiply-Add Unit Design (Verilog HDL)☆18Updated 11 years ago
- ☆11Updated last year
- eyeriss-chisel3☆40Updated 2 years ago
- ☆20Updated last year
- A systolic array simulator for multi-cycle MACs and varying-byte words, with the paper accepted to HPCA 2022.☆70Updated 3 years ago
- [TECS'23] A project on the co-design of Accelerators and CNNs.☆20Updated 2 years ago
- Fork of the gem5 simulator with Garnet2.0 and DSENT extensions☆10Updated 6 years ago
- Domain-Specific Architecture Generator 2☆21Updated 2 years ago
- ☆3Updated 3 years ago
- NeuraChip Accelerator Simulator☆11Updated 10 months ago
- An end-to-end GCN inference accelerator written in HLS☆19Updated 2 years ago
- Project repo for the POSH on-chip network generator☆44Updated last week
- ☆31Updated 5 years ago
- Virtualized Accelerator Orchestration for Multi-Tenant Workloads☆11Updated 4 months ago
- PAAS: A System Level Simulator for Heterogeneous (CPU-FPGA) Computing Systems☆43Updated 3 years ago