chipsalliance / aib-protocols
☆25Updated 11 months ago
Alternatives and similar repositories for aib-protocols:
Users that are interested in aib-protocols are comparing it to the libraries listed below
- Prototype-network-on-chip (ProNoC) is an EDA tool that facilitates prototyping of custom heterogeneous NoC-based many-core-SoC (MCSoC).☆51Updated last week
- An example of using Ramulator as memory model in a cycle-accurate SystemC Design☆48Updated 7 years ago
- Contains FPGA benchmarks for Vivado HLS and Catapult HLS☆26Updated 4 years ago
- Ratatoskr NoC Simulator☆23Updated 3 years ago
- Project repo for the POSH on-chip network generator☆43Updated last year
- HLS for Networks-on-Chip☆33Updated 3 years ago
- ☆24Updated 5 years ago
- ☆70Updated 10 years ago
- ☆25Updated 4 years ago
- Development of a Network on Chip Simulation using SystemC.☆31Updated 7 years ago
- ☆29Updated 5 years ago
- AXI3 Bus Functional Models (Initiator & Target)☆27Updated 2 years ago
- verification of simple axi-based cache☆18Updated 5 years ago
- Xilinx AXI VIP example of use☆33Updated 3 years ago
- Source code of the paper "Low-Cost and Programmable CRC Implementation based on FPGA"☆42Updated 4 years ago
- CHIPKIT: An agile, reusable open-source framework for rapid test chip development☆40Updated 4 years ago
- Advanced Architecture Labs with CVA6☆54Updated last year
- ☆12Updated 2 months ago
- ☆40Updated 5 years ago
- Andes Vector Extension support added to riscv-dv☆14Updated 4 years ago
- NoC (Network-on-Chip) generator that generates Verilog HDL model of NoC consisting of on-chip routers☆59Updated 5 years ago
- fakeram generator for use by researchers who do not have access to commercial ram generators☆35Updated 2 years ago
- Network-on-Chip simulator (Booksim) with hooks for co-simulating RTL designs in Verilog.☆19Updated 9 years ago
- Pure digital components of a UCIe controller☆53Updated this week
- RTL sources of the High-Performance L1 Dcache (HPDcache) for OpenHW CV cores☆64Updated 2 weeks ago
- HW-SW Co-Simulation Library for AMBA AXI BFM using DPI/VPI☆30Updated last month
- An Open-Hardware CGRA for accelerated computation on the edge.☆16Updated 5 months ago
- eyeriss-chisel3☆40Updated 2 years ago
- General Purpose AXI Direct Memory Access☆48Updated 9 months ago
- This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of raven_soc which was taped-out by Efables…☆30Updated 4 years ago