chipsalliance / aib-phy-generatorLinks
AIB Generator: Analog hardware compiler for AIB PHY
☆34Updated 4 years ago
Alternatives and similar repositories for aib-phy-generator
Users that are interested in aib-phy-generator are comparing it to the libraries listed below
Sorting:
- Logic synthesis and ABC based optimization☆49Updated 2 weeks ago
- Home of the Advanced Interface Bus (AIB) specification.☆52Updated 2 years ago
- ASIC Design Kit for FreePDK45 + Nangate for use with mflowgen☆178Updated 5 years ago
- ☆31Updated 2 years ago
- AMC: Asynchronous Memory Compiler☆48Updated 4 years ago
- ☆105Updated 5 years ago
- fakeram generator for use by researchers who do not have access to commercial ram generators☆37Updated 2 years ago
- ☆44Updated last year
- ☆42Updated 9 months ago
- This is a tutorial on standard digital design flow☆78Updated 4 years ago
- Design of 1024x32 SRAM (32Kbits) using OpenRAM and SKY130 PDKs with operating voltage of 1.8V and access time < 2.5ns☆70Updated 4 years ago
- ☆169Updated 3 months ago
- ☆150Updated 3 years ago
- Open source process design kit for 28nm open process☆59Updated last year
- Project repo for the POSH on-chip network generator☆46Updated 3 months ago
- Introductory course into static timing analysis (STA).☆95Updated 2 months ago
- reference block design for the ASAP7nm library in Cadence Innovus☆44Updated last year
- ☆66Updated 2 years ago
- Open Source tool to build liberty files and for Characterizing Standard Cells.☆27Updated 4 years ago
- ☆54Updated last year
- BAG framework☆40Updated 11 months ago
- Material for OpenROAD Tutorial at DAC 2020☆47Updated 2 years ago
- ideas and eda software for vlsi design☆50Updated this week
- ☆33Updated 5 years ago
- Builds, flow and designs for the alpha release☆54Updated 5 years ago
- Open-source repository for a standard-cell library characterizer using complete open-source tools☆32Updated last month
- Standard Cell Library based Memory Compiler using FF/Latch cells☆147Updated last year
- ☆44Updated 5 years ago
- Workshop on Open-Source EDA Technology (WOSET)☆49Updated 7 months ago
- This repository contains all the information needed to run RTL2GDSII flow using openlane flow. Apart from that, it also contain procedure…☆70Updated 4 years ago