visky2096 / AHB-to-I2C

Attempt to setup a bridge between AHB and I2C by constructing dedicated modules of AHB master , AHB slave , APB master , APB slave, I2C master and I2c slave. The RTL and all the test benches are written in [VERILOG]
20Updated 5 years ago

Related projects: