tdene / synth_opt_adders
Prefix tree adder space exploration library
☆57Updated 3 months ago
Alternatives and similar repositories for synth_opt_adders:
Users that are interested in synth_opt_adders are comparing it to the libraries listed below
- An automatic clock gating utility☆44Updated 7 months ago
- SystemVerilog frontend for Yosys☆76Updated this week
- ☆31Updated last month
- ☆36Updated 2 years ago
- ☆31Updated last year
- tools to help make the most of the limited space we have on the Google sponsored Efabless shuttles☆35Updated 2 years ago
- Create fast and efficient standard cell based adders, multipliers and multiply-adders.☆110Updated last year
- Announcements related to Verilator☆39Updated 4 years ago
- ☆46Updated 3 weeks ago
- Documentation for the 5 day workshop: Advanced Physical Design using OpenLane/Sky130☆54Updated 2 years ago
- Plugins for Yosys developed as part of the F4PGA project.☆80Updated 9 months ago
- Open-source repository for a standard-cell library characterizer using complete open-source tools☆26Updated this week
- Fully-differential asynchronous non-binary 12-bit SAR-ADC in SKY130, free to re-use under Apache-2.0 license☆39Updated 7 months ago
- ☆79Updated 2 years ago
- submission repository for efabless mpw6 shuttle☆30Updated last year
- Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)☆65Updated last month
- 8x PLL Clock Multiplier IP with an input frequency range of 5Mhz to 12.5Mhz and output frequency range of 40Mhz to 100Mhz, giving a 8x mu…☆110Updated 3 years ago
- ☆36Updated this week
- A set of rules and recommendations for analog and digital circuit designers.☆27Updated 3 months ago
- Index of the fully open source process design kits (PDKs) maintained by Google for GlobalFoundries technologies.☆48Updated 2 years ago
- This project describes how the PNR of an analog IP, 2:1 analog multiplexer is carried out by opensource EDA tools, Openlane. It also disc…☆44Updated 4 years ago
- Open Source tool to build liberty files and for Characterizing Standard Cells.☆24Updated 4 years ago
- Small SERV-based SoC primarily for OpenMPW tapeout☆38Updated 2 months ago
- JKU IIC OSIC-Multitool for open-source IC (OSIC) design for SKY130.☆57Updated 3 months ago
- XSCHEM symbol libraries for the Google-Skywater 130nm process design kit.☆60Updated this week
- Conda recipes for FPGA EDA tools for simulation, synthesis, place and route and bitstream generation.☆100Updated last month
- A tool that converts SystemVerilog to Verilog. Uses Design Compiler, so it is 100% compatible.☆39Updated last year
- AXI Formal Verification IP☆20Updated 3 years ago
- ☆53Updated last year
- Design of 1024x32 SRAM (32Kbits) using OpenRAM and SKY130 PDKs with operating voltage of 1.8V and access time < 2.5ns☆66Updated 3 years ago