baichen318 / FreePDK45
This is the FreePDK45 V1.4 Process Development Kit for the 45 nm technology
☆18Updated 3 years ago
Related projects: ⓘ
- SRAM☆19Updated 4 years ago
- A RRAM addon for the NCSU FreePDK 45nm☆18Updated 2 years ago
- A free standard cell library for SDDS-NCL circuits☆22Updated last year
- ☆38Updated last week
- eyeriss-chisel3☆35Updated 2 years ago
- The Verilog source code for DRUM approximate multiplier.☆26Updated last year
- This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of raven_soc which was taped-out by Efables…☆26Updated 4 years ago
- Open-sourced utilities for initial flow setup, calibration, and other user functions for OpenROAD project☆20Updated 5 years ago
- ☆21Updated 4 years ago
- IPs for data-plane integration of Hardware Processing Engines (HWPEs) within a PULP system☆18Updated last month
- Material for OpenROAD Tutorial at DAC 2020☆45Updated last year
- A verilog implementation for Network-on-Chip☆60Updated 6 years ago
- ECE 5745 Tutorial 8: SRAM Generators☆13Updated 2 years ago
- ☆76Updated 2 months ago
- Design of 1024x32 SRAM (32Kbits) using OpenRAM and SKY130 PDKs with operating voltage of 1.8V and access time < 2.5ns☆57Updated 3 years ago
- ☆35Updated 5 years ago
- Open Source tool to build liberty files and for Characterizing Standard Cells.☆23Updated 3 years ago
- ☆18Updated 10 years ago
- An Open-Source Analytical Placer for Large Scale Heterogeneous FPGAs using Deep-Learning Toolkit☆72Updated this week
- Prototype-network-on-chip (ProNoC) is an EDA tool that facilitates prototyping of custom heterogeneous NoC-based many-core-SoC (MCSoC).☆43Updated 3 months ago
- Project repo for the POSH on-chip network generator☆41Updated last year
- Contains FPGA benchmarks for Vivado HLS and Catapult HLS☆24Updated 4 years ago
- tpu-systolic-array-weight-stationary☆17Updated 3 years ago
- ☆24Updated 5 years ago
- 32 - bit floating point Multiplier Accumulator Unit (MAC)☆21Updated 3 years ago
- Provides the hardware code for the paper "EBPC: Extended Bit-Plane Compression for Deep Neural Network Inference and Training Accelerator…☆23Updated 4 years ago
- AMC: Asynchronous Memory Compiler☆44Updated 4 years ago
- Ratatoskr NoC Simulator☆18Updated 3 years ago
- Low level design of a chip built for optimizing/accelerating CNN classifiers over gray scale images.☆12Updated 5 years ago
- 128KB AXI cache (32-bit in, 256-bit out)☆39Updated 3 years ago