lnis-uofu / FreePDK45-RRAM-Addon
A RRAM addon for the NCSU FreePDK 45nm
☆23Updated 3 years ago
Alternatives and similar repositories for FreePDK45-RRAM-Addon:
Users that are interested in FreePDK45-RRAM-Addon are comparing it to the libraries listed below
- sram/rram/mram.. compiler☆32Updated last year
- ☆26Updated 5 years ago
- A free standard cell library for SDDS-NCL circuits☆26Updated 2 years ago
- Benchmark framework of 3D integrated CIM accelerators for popular DNN inference, support both monolithic and heterogeneous 3D integration☆22Updated 3 years ago
- IPs for data-plane integration of Hardware Processing Engines (HWPEs) within a PULP system☆19Updated last month
- The Verilog source code for DRUM approximate multiplier.☆29Updated last year
- SRAM☆21Updated 4 years ago
- Spiking Neural Network Accelerator☆15Updated 2 years ago
- Open source process design kit for 28nm open process☆51Updated 11 months ago
- Contains FPGA benchmarks for Vivado HLS and Catapult HLS☆26Updated 4 years ago
- AMC: Asynchronous Memory Compiler☆48Updated 4 years ago
- CNN accelerator☆28Updated 7 years ago
- A project on hardware design for convolutional neural network. This neural network is of 2 layers with 400 inputs in the first layer. Thi…☆18Updated 7 years ago
- SAURIA (Systolic-Array tensor Unit for aRtificial Intelligence Acceleration) is an open-source Convolutional Neural Network accelerator b…☆35Updated 5 months ago
- A Spiking Neuron Network Project in Verilog Implementation☆21Updated 6 years ago
- SKY130 SRAM macros generated by SRAM 22☆15Updated last month
- Implementation of the pipelined RISC V processor with many useful features as fully bypassing, dynamic branch prediction, single and mult…☆14Updated last year
- ☆31Updated 5 years ago
- ☆24Updated 2 years ago
- This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of raven_soc which was taped-out by Efables…☆30Updated 4 years ago
- Ratatoskr NoC Simulator☆24Updated 3 years ago
- Design of 1024x32 SRAM (32Kbits) using OpenRAM and SKY130 PDKs with operating voltage of 1.8V and access time < 2.5ns☆66Updated 3 years ago
- Architecture for RRAM multilevel programming☆16Updated 6 years ago
- Open Source PHY v2☆26Updated 11 months ago
- NoC (Network-on-Chip) generator that generates Verilog HDL model of NoC consisting of on-chip routers☆60Updated 5 years ago
- This is a tutorial on standard digital design flow☆75Updated 3 years ago
- An open source PDK using TIGFET 10nm devices.☆48Updated 2 years ago
- Open-sourced utilities for initial flow setup, calibration, and other user functions for OpenROAD project☆19Updated 5 years ago
- This repository includes the Resistive Random Access Memory (RRAM) Compiler which is designed in the context of the research project of D…☆64Updated 2 years ago
- Pipelined FFT/IFFT 64 points processor☆12Updated 10 years ago