semify-eda / fstdumper
Verilog VPI module to dump FST (Fast Signal Trace) databases
☆15Updated last year
Related projects: ⓘ
- ☆17Updated last week
- A Python to VHDL compiler☆15Updated 3 weeks ago
- ☆15Updated last year
- Gate-level visualization generator for SKY130-based chip designs.☆20Updated 3 years ago
- YosysHQ SVA AXI Properties☆29Updated last year
- An automatic clock gating utility☆40Updated 2 months ago
- SAR ADC on tiny tapeout☆31Updated 2 months ago
- Library of open source Process Design Kits (PDKs)☆21Updated this week
- ☆35Updated 2 years ago
- A tool that converts SystemVerilog to Verilog. Uses Design Compiler, so it is 100% compatible.☆38Updated last year
- SystemVerilog Linter based on pyslang☆19Updated 6 months ago
- tools regarding on analog modeling, validation, and generation☆18Updated last year
- submission repository for efabless mpw6 shuttle☆30Updated 8 months ago
- A configurable SRAM generator☆41Updated last week
- USB virtual model in C++ for Verilog☆26Updated 2 weeks ago
- Open source process design kit for 28nm open process☆38Updated 4 months ago
- ☆8Updated 10 months ago
- Python library for working Standard Delay Format (SDF) Timing Annotation files.☆28Updated 2 months ago
- tools to help make the most of the limited space we have on the Google sponsored Efabless shuttles☆34Updated last year
- KLayout technology files for ASAP7 FinFET educational process☆18Updated last year
- This repository is for (pre-)release versions of the Revolution EDA.☆32Updated 3 months ago
- DUTH RISC-V Superscalar Microprocessor☆29Updated 4 months ago
- LunaPnR is a place and router for integrated circuits☆40Updated last month
- Sphinx domain to allow integration of Verilog / SystemVerilog documentation into Sphinx.☆21Updated 3 years ago
- ☆31Updated last year
- Bitstream Fault Analysis Tool☆12Updated last year
- Open Source PHY v2☆23Updated 4 months ago
- ☆22Updated 11 months ago
- Learn, share and collaborate on ASIC design using open tools and technologies☆11Updated 3 years ago
- Trying to verify Verilog/VHDL designs with formal methods and tools☆41Updated 6 months ago