semify-eda / fstdumper

Verilog VPI module to dump FST (Fast Signal Trace) databases
15Updated last year

Related projects: