thesps / conifer
Fast inference of Boosted Decision Trees in FPGAs
☆48Updated 2 months ago
Related projects ⓘ
Alternatives and complementary repositories for conifer
- Vitis HLS Library for FINN☆181Updated last week
- ☆83Updated 5 months ago
- ☆35Updated 5 years ago
- Simple examples for FPGA design using Vivado HLS for high level synthesis and Vivado for bitstream generation.☆25Updated 4 years ago
- Models and examples built with hls4ml☆12Updated 4 years ago
- Dataflow QNN inference accelerator examples on FPGAs☆185Updated 2 weeks ago
- RapidStream TAPA compiles task-parallel HLS program into high-frequency FPGA accelerators.☆156Updated this week
- SAMO: Streaming Architecture Mapping Optimisation☆32Updated last year
- ☆55Updated 4 years ago
- Quantized ResNet50 Dataflow Acceleration on Alveo, with PYNQ☆52Updated 2 years ago
- Open Source Compiler Framework using ONNX as Frontend and IR☆29Updated 2 years ago
- An LSTM template and a few examples using Vivado HLS☆42Updated 6 months ago
- [DAC 2020] Analysis and Optimization of the Implicit Broadcasts in FPGA HLS to Improve Maximum Frequency☆32Updated 3 years ago
- Examples shown as part of the tutorial "Productive parallel programming on FPGA with high-level synthesis".☆192Updated 3 years ago
- Library of approximate arithmetic circuits☆51Updated 2 years ago
- Hands-on experience using the Vitis unified software platform with Xilinx FPGA hardware☆46Updated 3 months ago
- High Granularity Quantizarion for Ultra-Fast Machine Learning Applications on FPGAs☆15Updated 3 months ago
- Rosetta: A Realistic High-level Synthesis Benchmark Suite for Software Programmable FPGAs☆158Updated last year
- PYNQ Composabe Overlays☆67Updated 5 months ago
- Jupyter notebook examples on image classification with quantized neural networks☆67Updated 4 years ago
- An FPGA accelerator for general-purpose Sparse-Matrix Dense-Matrix Multiplication (SpMM).☆71Updated 3 months ago
- PolyLUT is the first quantized neural network training methodology that maps a neuron to a LUT while using multivariate polynomial functi…☆44Updated 9 months ago
- FlexASR: A Reconfigurable Hardware Accelerator for Attention-based Seq-to-Seq Networks☆42Updated 2 years ago
- Introductory examples for using PYNQ with Alveo☆48Updated last year
- An Open Workflow to Build Custom SoCs and run Deep Models at the Edge☆65Updated 3 months ago
- PyTorch model to RTL flow for low latency inference☆121Updated 8 months ago
- [FPGA 2021, Best Paper Award] An automated floorplanning and pipelining tool for Vivado HLS.☆121Updated last year
- Tutorial notebooks for hls4ml☆303Updated 3 weeks ago
- A PYNQ overlay demonstrating Pythonic DSP running on Zynq UltraScale+☆37Updated 2 years ago
- CHARM: Composing Heterogeneous Accelerators on Versal ACAP Architecture☆124Updated 2 weeks ago