thesps / conifer
Fast inference of Boosted Decision Trees in FPGAs
☆48Updated 2 months ago
Related projects ⓘ
Alternatives and complementary repositories for conifer
- Vitis HLS Library for FINN☆178Updated 2 weeks ago
- SAMO: Streaming Architecture Mapping Optimisation☆32Updated last year
- ☆82Updated 4 months ago
- Quantized ResNet50 Dataflow Acceleration on Alveo, with PYNQ☆51Updated 2 years ago
- An LSTM template and a few examples using Vivado HLS☆42Updated 6 months ago
- ☆55Updated 4 years ago
- Hands-on experience using the Vitis unified software platform with Xilinx FPGA hardware☆46Updated 3 months ago
- RapidStream TAPA compiles task-parallel HLS program into high-frequency FPGA accelerators.☆155Updated this week
- Models and examples built with hls4ml☆12Updated 4 years ago
- ☆35Updated 5 years ago
- Dataflow QNN inference accelerator examples on FPGAs☆181Updated this week
- Simple examples for FPGA design using Vivado HLS for high level synthesis and Vivado for bitstream generation.☆25Updated 4 years ago
- Introductory examples for using PYNQ with Alveo☆48Updated last year
- [DAC 2020] Analysis and Optimization of the Implicit Broadcasts in FPGA HLS to Improve Maximum Frequency☆32Updated 3 years ago
- Examples shown as part of the tutorial "Productive parallel programming on FPGA with high-level synthesis".☆192Updated 2 years ago
- An Open Workflow to Build Custom SoCs and run Deep Models at the Edge☆64Updated 3 months ago
- High Granularity Quantizarion for Ultra-Fast Machine Learning Applications on FPGAs☆14Updated 3 months ago
- ☆86Updated 4 years ago
- Matrix Operation Library for FPGA https://xilinx.github.io/gemx/☆63Updated 5 years ago
- Rosetta: A Realistic High-level Synthesis Benchmark Suite for Software Programmable FPGAs☆158Updated last year
- PyTorch model to RTL flow for low latency inference☆121Updated 7 months ago
- PYNQ Composabe Overlays☆67Updated 4 months ago
- PolyLUT is the first quantized neural network training methodology that maps a neuron to a LUT while using multivariate polynomial functi…☆39Updated 9 months ago
- [FPGA 2021, Best Paper Award] An automated floorplanning and pipelining tool for Vivado HLS.☆120Updated last year
- Scalable systolic array-based matrix-matrix multiplication implemented in Vivado HLS for Xilinx FPGAs.☆304Updated 2 years ago
- Open Source Compiler Framework using ONNX as Frontend and IR☆29Updated 2 years ago
- ☆104Updated 5 years ago
- Jupyter notebook examples on image classification with quantized neural networks☆67Updated 4 years ago
- FPGA-based neural network inference project with an end-to-end approach (from training to implementation to deployment)☆261Updated 4 years ago
- HW Architecture-Mapping Design Space Exploration Framework for Deep Learning Accelerators☆113Updated this week