calad0i / HGQ
High Granularity Quantizarion for Ultra-Fast Machine Learning Applications on FPGAs
☆15Updated 3 months ago
Related projects ⓘ
Alternatives and complementary repositories for HGQ
- Fast inference of Boosted Decision Trees in FPGAs☆48Updated 2 months ago
- Tutorial notebooks for hls4ml☆303Updated 3 weeks ago
- An Open Workflow to Build Custom SoCs and run Deep Models at the Edge☆65Updated 3 months ago
- Vitis HLS Library for FINN☆181Updated last week
- ☆35Updated 5 years ago
- Models and examples built with hls4ml☆12Updated 4 years ago
- HW Architecture-Mapping Design Space Exploration Framework for Deep Learning Accelerators☆113Updated this week
- Dataflow QNN inference accelerator examples on FPGAs☆185Updated 2 weeks ago
- ☆83Updated 5 months ago
- PolyLUT is the first quantized neural network training methodology that maps a neuron to a LUT while using multivariate polynomial functi…☆44Updated 9 months ago
- CHARM: Composing Heterogeneous Accelerators on Versal ACAP Architecture☆124Updated 2 weeks ago
- Library of approximate arithmetic circuits☆51Updated 2 years ago
- PyTorch model to RTL flow for low latency inference☆121Updated 8 months ago
- PYNQ Composabe Overlays☆67Updated 5 months ago
- A collection of tutorials for the fpgaConvNet framework.☆32Updated 2 months ago
- SAMO: Streaming Architecture Mapping Optimisation☆32Updated last year
- Simple examples for FPGA design using Vivado HLS for high level synthesis and Vivado for bitstream generation.☆25Updated 4 years ago
- RapidStream TAPA compiles task-parallel HLS program into high-frequency FPGA accelerators.☆156Updated this week
- An LSTM template and a few examples using Vivado HLS☆42Updated 6 months ago
- ☆24Updated 2 weeks ago
- ☆70Updated last year
- Examples shown as part of the tutorial "Productive parallel programming on FPGA with high-level synthesis".☆192Updated 3 years ago
- ☆55Updated 4 years ago
- DPU on PYNQ☆202Updated 9 months ago
- PYNQ-Torch: a framework to develop PyTorch accelerators on the PYNQ platform☆67Updated 4 years ago
- ☆45Updated 2 months ago
- Integration on PL side of Zynq7000 for PYNQ framework of common industrial devices (GPIO, I2C, SPI and UART)☆30Updated 3 years ago
- Scalable systolic array-based matrix-matrix multiplication implemented in Vivado HLS for Xilinx FPGAs.☆307Updated 2 years ago
- ☆60Updated 5 years ago
- High Level Synthesis of a trained Convolutional Neural Network for handwritten digit recongnition.☆29Updated 3 months ago