bol-edu / course-lab_1
Board: PYNQ-Z2, Vitis version: 2022.1
☆19Updated 6 months ago
Alternatives and similar repositories for course-lab_1:
Users that are interested in course-lab_1 are comparing it to the libraries listed below
- ☆30Updated 5 months ago
- Systolic array based simple TPU for CNN on PYNQ-Z2☆24Updated 2 years ago
- This is a verilog implementation of 4x4 systolic array multiplier☆48Updated 4 years ago
- Computer-Aided VLSI System Design☆18Updated 4 months ago
- ☆62Updated 6 years ago
- AMD University Program HLS tutorial☆80Updated 4 months ago
- ☆33Updated last week
- IC implementation of TPU☆100Updated 5 years ago
- A Flexible and Energy Efficient Accelerator For Sparse Convolution Neural Network☆46Updated last week
- FlexASR: A Reconfigurable Hardware Accelerator for Attention-based Seq-to-Seq Networks☆42Updated this week
- CNN hardware accelerator to accelerate quantized LeNet-5 model☆28Updated last year
- ☆35Updated last year
- 16-bit Adder Multiplier hardware on Digilent Basys 3☆67Updated last year
- Verilog implementation of Softmax function☆57Updated 2 years ago
- This is forked from Xilinx HLS-Tiny-Tutorial. I'm learning HLS and adding Verilator testbench to verify the generated RTL☆26Updated 3 years ago
- Prototype-network-on-chip (ProNoC) is an EDA tool that facilitates prototyping of custom heterogeneous NoC-based many-core-SoC (MCSoC).☆50Updated 2 weeks ago
- FPGA based Vision Transformer accelerator (Harvard CS205)☆104Updated 3 weeks ago
- An LSTM template and a few examples using Vivado HLS☆44Updated 10 months ago
- A reading list for SRAM-based Compute-In-Memory (CIM) research.☆50Updated 3 weeks ago
- Simple examples for FPGA design using Vivado HLS for high level synthesis and Vivado for bitstream generation.☆28Updated 4 years ago
- 32 - bit floating point Multiplier Accumulator Unit (MAC)☆27Updated 4 years ago
- A Reconfigurable Accelerator with Data Reordering Support for Low-Cost On-Chip Dataflow Switching☆46Updated 5 months ago
- hardware design of universal NPU(CNN accelerator) for various convolution neural network☆100Updated 2 weeks ago
- Systolic-array based Deep Learning Accelerator generator☆25Updated 4 years ago
- An HLS based winograd systolic CNN accelerator☆50Updated 3 years ago
- ☆53Updated 4 years ago
- An Open Workflow to Build Custom SoCs and run Deep Models at the Edge☆72Updated 3 weeks ago
- tpu-systolic-array-weight-stationary☆20Updated 3 years ago
- A collection of research papers on SRAM-based compute-in-memory architectures.☆27Updated last year
- PYNQ Composabe Overlays☆70Updated 8 months ago