shahsaumya00 / D-Algorithm-CombinationalLinks
Combinational ATPG generator based on D-Algorithm
☆16Updated 4 years ago
Alternatives and similar repositories for D-Algorithm-Combinational
Users that are interested in D-Algorithm-Combinational are comparing it to the libraries listed below
Sorting:
- reference block design for the ASAP7nm library in Cadence Innovus☆44Updated last year
- This is a tutorial on standard digital design flow☆78Updated 4 years ago
- FAN (fan-out-oriented) ATPG (Automatic Test Pattern Generation) and Fault Simulation command line tool☆92Updated last year
- Introductory course into static timing analysis (STA).☆95Updated 2 months ago
- Atalanta is a modified ATPG (Automatic Test Pattern Generation) tool and fault simulator, orginally from VirginiaTech University.☆81Updated last year
- Physical Design Flow from RTL to GDS using Opensource tools.☆103Updated 4 years ago
- ☆42Updated 9 months ago
- A Standalone Structural Verilog Parser☆92Updated 3 years ago
- ☆71Updated this week
- This repository is dedicated to providing a comprehensive guide and practical examples for using VC Formal for formal verification. Our g…☆31Updated last year
- ASIC Design Kit for FreePDK45 + Nangate for use with mflowgen☆179Updated 5 years ago
- Design of 1024x32 SRAM (32Kbits) using OpenRAM and SKY130 PDKs with operating voltage of 1.8V and access time < 2.5ns☆70Updated 4 years ago
- This is a simple project that shows how to multiply two 3x3 matrixes in Verilog.☆49Updated 7 years ago
- A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.☆97Updated 3 years ago
- IDEA project source files☆106Updated 7 months ago
- EE 260 Winter 2017: Advanced VLSI Design☆64Updated 8 years ago
- In this tutorial, you learn how to implement a design from RTL-to-GDSII using Cadence® tools.☆63Updated last year
- The project is about building an 8-row by 8-bit 6T SRAM memory array, & a 3-to-8 decoder that's used to access the SRAM array. The layout…☆71Updated 2 years ago
- ☆169Updated 3 months ago
- HDL code for a DDR4 memory controller implementing an Open Page Policy and Out of Order execution.☆75Updated 7 years ago
- Courseworks of CS6165 VLSI Physical Design Automation, NTHU.☆46Updated 4 years ago
- Tests for the design flow with Synopsys tools for the implementation of a RISC-V processor.☆22Updated 9 months ago
- This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of raven_soc which was taped-out by Efables…☆33Updated 5 years ago
- EPFL and ISCAS85 combinational benchmark circuits in generic gate verilog☆27Updated 5 years ago
- ☆75Updated 10 years ago
- This is the repository for the IEEE version of the book☆66Updated 4 years ago
- General Purpose AXI Direct Memory Access☆51Updated last year
- Some useful documents of Synopsys☆75Updated 3 years ago
- This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of ORCA which was taped-out by NTI.☆18Updated last year
- A verilog implementation for Network-on-Chip☆73Updated 7 years ago