kunalg123 / icc2_workshop_collaterals
This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of raven_soc which was taped-out by Efabless Corp. Pvt. Ltd. VSD has not checked functionality for these collaterals, so please do not expect a functionality bug fix. These are used purely for PNR workshops and trainings
☆30Updated 4 years ago
Alternatives and similar repositories for icc2_workshop_collaterals:
Users that are interested in icc2_workshop_collaterals are comparing it to the libraries listed below
- Design of 1024x32 SRAM (32Kbits) using OpenRAM and SKY130 PDKs with operating voltage of 1.8V and access time < 2.5ns☆66Updated 3 years ago
- ☆29Updated 5 years ago
- General Purpose AXI Direct Memory Access☆48Updated 9 months ago
- ☆41Updated 2 years ago
- DDR5 PHY Graduation project (Verification Team) under supervision of Si-Vision☆44Updated 11 months ago
- Base on Synopsys platform using VCS,DC,ICC,PT.☆11Updated 3 years ago
- HDL code for a DDR4 memory controller implementing an Open Page Policy and Out of Order execution.☆73Updated 7 years ago
- Prototype-network-on-chip (ProNoC) is an EDA tool that facilitates prototyping of custom heterogeneous NoC-based many-core-SoC (MCSoC).☆50Updated 2 weeks ago
- A verilog implementation for Network-on-Chip☆73Updated 7 years ago
- ☆70Updated 10 years ago
- In this tutorial, you learn how to implement a design from RTL-to-GDSII using Cadence® tools.☆41Updated last year
- 128KB AXI cache (32-bit in, 256-bit out)☆48Updated 3 years ago
- a hardware design library based on SpinalHDL, especially for stream processing operators on Xilinx FPGAs for Arithmetic, DSP, Communicati…☆58Updated last year
- Introductory course into static timing analysis (STA).☆84Updated 4 months ago
- SystemVerilog Direct Programming Interface (DPI) Tutorial☆49Updated 4 years ago
- This is a tutorial on standard digital design flow☆73Updated 3 years ago
- ☆40Updated 3 years ago
- Open Source tool to build liberty files and for Characterizing Standard Cells.☆24Updated 4 years ago
- AHB DMA 32 / 64 bits☆53Updated 10 years ago
- The memory model was leveraged from micron.☆22Updated 6 years ago
- EE 260 Winter 2017: Advanced VLSI Design☆60Updated 8 years ago
- AXI4 and AXI4-Lite interface definitions☆92Updated 4 years ago
- ☆53Updated 4 years ago
- SKY130 SRAM macros generated by SRAM 22☆12Updated this week
- System Verilog and Emulation. Written all the five channels.☆33Updated 7 years ago
- This repository contains all the contents studied and created during the Advanced Physical Design Workshop using OpenLANE and SKY130 PDK☆37Updated 3 years ago
- reference block design for the ASAP7nm library in Cadence Innovus☆38Updated 8 months ago
- ☆18Updated 2 years ago
- A generic implementation of AMBA AXI4 communication protocol. The design provides a master, a slave and an interconnect with multiple mas…☆31Updated 2 years ago
- SRAM☆21Updated 4 years ago