pontazaricardo / Verilog_Calculator_Matrix_Multiplication

This is a simple project that shows how to multiply two 3x3 matrixes in Verilog.
47Updated 7 years ago

Related projects: