akdimitri / RRAM_COMPILER
This repository includes the Resistive Random Access Memory (RRAM) Compiler which is designed in the context of the research project of Dimitris Antoniadis (PG Taught Student) at Imperial College London
☆55Updated 2 years ago
Related projects ⓘ
Alternatives and complementary repositories for RRAM_COMPILER
- Benchmark framework of 3D integrated CIM accelerators for popular DNN inference, support both monolithic and heterogeneous 3D integration☆21Updated 3 years ago
- sram/rram/mram.. compiler☆29Updated last year
- This is a tutorial on standard digital design flow☆73Updated 3 years ago
- This is a verilog implementation of 4x4 systolic array multiplier☆39Updated 4 years ago
- ReRAM implementation on CNN☆17Updated 5 years ago
- tinyODIN digital spiking neural network (SNN) processor - HDL source code and documentation.☆40Updated last year
- A RRAM addon for the NCSU FreePDK 45nm☆20Updated 2 years ago
- eyeriss-chisel3☆39Updated 2 years ago
- ☆26Updated 5 years ago
- reference block design for the ASAP7nm library in Cadence Innovus☆32Updated 4 months ago
- Design of 1024x32 SRAM (32Kbits) using OpenRAM and SKY130 PDKs with operating voltage of 1.8V and access time < 2.5ns☆63Updated 3 years ago
- ☆15Updated this week
- Benchmark framework of compute-in-memory based accelerators for deep neural network (on-chip training chip focused)☆46Updated 3 years ago
- Architecture for RRAM multilevel programming☆16Updated 6 years ago
- Prototype-network-on-chip (ProNoC) is an EDA tool that facilitates prototyping of custom heterogeneous NoC-based many-core-SoC (MCSoC).☆48Updated 5 months ago
- tpu-systolic-array-weight-stationary☆18Updated 3 years ago
- ☆118Updated 3 years ago
- IC implementation of TPU☆86Updated 4 years ago
- Ratatoskr NoC Simulator☆21Updated 3 years ago
- Benchmark framework of compute-in-memory based accelerators for deep neural network (inference engine focused)☆54Updated 7 months ago
- ASIC Design Kit for FreePDK45 + Nangate for use with mflowgen☆151Updated 4 years ago
- Dataset for ML-guided Accelerator Design☆31Updated this week
- ☆23Updated 2 years ago
- ECE 5745 Tutorial 8: SRAM Generators☆13Updated 2 years ago
- A SystemVerilog implementation of Row-Stationary dataflow and Hierarchical Mesh Network-on-Chip Architecture based on Eyeriss CNN Acceler…☆129Updated 4 years ago
- ☆38Updated 2 months ago
- A verilog implementation for Network-on-Chip☆67Updated 6 years ago
- Models and training scripts for "LSTMs for Keyword Spotting with ReRAM-based Compute-In-Memory Architectures" (ISCAS 2021).☆15Updated 3 years ago
- Hardware implementation of Spiking Neural Network on a PYNQ-Z1 board☆29Updated 5 years ago
- A toolchain for rapid design space exploration of chiplet architectures☆33Updated 6 months ago