ldoolitt / vhd2vl
☆121Updated last year
Related projects ⓘ
Alternatives and complementary repositories for vhd2vl
- Verilog wishbone components☆109Updated 10 months ago
- A set of Wishbone Controlled SPI Flash Controllers☆76Updated 2 years ago
- SystemVerilog synthesis tool☆169Updated this week
- Standard Cell Library based Memory Compiler using FF/Latch cells☆134Updated 5 months ago
- Basic USB 1.1 Host Controller for small FPGAs☆85Updated 4 years ago
- Mathematical Functions in Verilog☆86Updated 3 years ago
- An open-source HDL register code generator fast enough to run in real time.☆37Updated this week
- SOFA (Skywater Opensource FPGAs) based on Skywater 130nm PDK and OpenFPGA☆134Updated last year
- Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)☆62Updated last year
- Wavious DDR (WDDR) Physical interface (PHY) Hardware☆98Updated 3 years ago
- A Video display simulator☆156Updated 4 months ago
- Opensource DDR3 Controller☆217Updated this week
- A rudimental RISCV CPU supporting RV32I instructions, in VHDL☆116Updated 4 years ago
- 8x PLL Clock Multiplier IP with an input frequency range of 5Mhz to 12.5Mhz and output frequency range of 40Mhz to 100Mhz, giving a 8x mu…☆108Updated 3 years ago
- Wishbone interconnect utilities☆37Updated 6 months ago
- FuseSoC standard core library☆115Updated last month
- ♻️ Convert the NEORV32 processor into a synthesizable plain-Verilog netlist module using GHDL.☆66Updated last week
- A DDR3(L) PHY and controller, written in Verilog, for Xilinx 7-Series FPGAs☆62Updated last year
- Create fast and efficient standard cell based adders, multipliers and multiply-adders.☆110Updated last year
- Playing around with Formal Verification of Verilog and VHDL☆54Updated 3 years ago
- Python/C/RTL cosimulation with Xilinx's xsim simulator☆64Updated 2 months ago
- Experimental flows using nextpnr for Xilinx devices☆213Updated last month
- Fully parametrizable combinatorial parallel LFSR/CRC module☆138Updated last year
- Caravel is a standard SoC hardness with on chip resources to control and read/write operations from a user-dedicated space.☆135Updated 2 years ago
- OSVVM Documentation☆30Updated last month
- FPGA display controller with support for VGA, DVI, and HDMI.☆219Updated 4 years ago
- Minimal DVI / HDMI Framebuffer☆76Updated 4 years ago
- DDR3 Controller v1.65, 16 read/write ports, configurable widths, priority, auto-burst size & cache on each port. VGA/HDMI multiwindow vi…☆71Updated 7 months ago
- Documenting the Xilinx Ultrascale, Ultrascale+ and UltraScale MPSoC series bit-stream format.☆71Updated 2 years ago
- Verilog digital signal processing components☆108Updated 2 years ago