kwonalbert / oram_fpga
FPGA related files for ORAM
☆12Updated 8 years ago
Related projects: ⓘ
- Source code for the architectural and circuit-level simulators used for modeling the CROW (Copy-ROW DRAM) mechanism proposed in our ISCA …☆15Updated 5 years ago
- NOCulator is a network-on-chip simulator providing cycle-accurate performance models for a wide variety of networks (mesh, torus, ring, h…☆22Updated last year
- DASS HLS Compiler☆26Updated 11 months ago
- CoPHEE is a Co-processor for Partially Homomorphic Encrypted Encryption.☆25Updated 7 months ago
- Documentation for the entire CGRAFlow☆17Updated 3 years ago
- PAAS: A System Level Simulator for Heterogeneous (CPU-FPGA) Computing Systems☆41Updated 3 years ago
- Lake is a framework for generating synthesizable memory modules from a high-level behavioral specification and widely-available memory ma…☆17Updated this week
- ☆11Updated last year
- Circuit-level model for the Capacity-Latency Reconfigurable DRAM (CLR-DRAM) architecture. This repository contains the SPICE models of th…☆12Updated 3 years ago
- ☆12Updated 9 years ago
- Implementation of the Advanced Encryption Standard in Chisel☆19Updated 2 years ago
- Replace original DRAM model in GPGPU-sim with Ramulator DRAM model☆17Updated 5 years ago
- This is an read-only mirror of the gem5 simulator. The upstream repository is stored in https://gem5.googlesource.com, code reviews shoul…☆11Updated 4 years ago
- Hardware implementation of ORAM☆21Updated 7 years ago
- Methodology that leverages FPV to automatically discover covert channels in hardware that is time-shared between processes. AutoCC operat…☆11Updated last year
- A parallel and distributed simulator for thousand-core chips☆22Updated 6 years ago
- ☆17Updated 6 years ago
- CHIPKIT: An agile, reusable open-source framework for rapid test chip development☆38Updated 4 years ago
- Hybrid BFS on Xilinx Zynq☆18Updated 9 years ago
- Papers, Posters, Presentations, Documentation...☆18Updated 8 months ago
- An LLVM pass to prove that an II works for the given loop for Vitis HLS☆11Updated 3 years ago
- Tutorial Material from the SST Team☆17Updated 4 months ago
- Integration test for entire CGRA flow☆12Updated 4 years ago
- ☆16Updated 3 months ago
- Spike with a coherence supported cache model☆11Updated 2 months ago
- ☆14Updated 3 years ago
- Benchmarks, testbenches, and transformed codes for high-level synthesis research☆13Updated 7 years ago
- A hardware design framework with a timing-deterministic, Rust-embedded HDL and the compilation flow.☆11Updated 6 months ago
- Tutorial for integrating PyMTL and Vivado HLS☆17Updated 8 years ago
- A 32-bit RISC-V Processor Designed with High-Level Synthesis☆45Updated 4 years ago