ZipCPU / wb2axip
Bus bridges and other odds and ends
☆485Updated 9 months ago
Related projects ⓘ
Alternatives and complementary repositories for wb2axip
- Common SystemVerilog components☆513Updated this week
- This is the top-level project for the PULPissimo Platform. It instantiates a PULPissimo open-source system with a PULP SoC domain, but no…☆386Updated 2 weeks ago
- A DDR3 memory controller in Verilog for various FPGAs☆363Updated 3 years ago
- SystemVerilog to Verilog conversion☆557Updated 2 weeks ago
- Parametric floating-point unit with support for standard RISC-V formats and operations as well as transprecision formats.☆433Updated 2 weeks ago
- BaseJump STL: A Standard Template Library for SystemVerilog☆520Updated this week
- A huge VHDL library for FPGA development☆345Updated this week
- AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication☆1,095Updated this week
- Verilog AXI stream components for FPGA implementation☆738Updated 3 months ago
- A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog☆258Updated 6 months ago
- The UVM written in Python☆371Updated 3 months ago
- IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architecture, Faculty of Computer Science, Techn…☆550Updated 3 years ago
- AXI interface modules for Cocotb☆212Updated 11 months ago
- Silicon-validated SoC implementation of the PicoSoc/PicoRV32☆258Updated 4 years ago
- UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of …☆369Updated 2 weeks ago
- An abstraction library for interfacing EDA tools☆637Updated this week
- FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.☆273Updated this week
- A simple, basic, formally verified UART controller☆282Updated 9 months ago
- CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.☆268Updated 4 years ago
- Functional verification project for the CORE-V family of RISC-V cores.☆444Updated this week
- Test suite designed to check compliance with the SystemVerilog standard.☆295Updated this week
- Verilog UART☆418Updated last year
- OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...☆225Updated this week
- Various HDL (Verilog) IP Cores☆707Updated 3 years ago
- lowRISC Style Guides☆369Updated last month
- FuseSoC-based SoC for VeeR EH1 and EL2☆287Updated 2 months ago
- Small footprint and configurable DRAM core☆381Updated last month
- Verilog I2C interface for FPGA implementation☆543Updated 3 months ago
- SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows☆403Updated this week
- SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compil…☆363Updated this week