VHDL-LS / rust_hdl
☆360Updated 2 weeks ago
Alternatives and similar repositories for rust_hdl:
Users that are interested in rust_hdl are comparing it to the libraries listed below
- SystemVerilog language server☆482Updated this week
- SystemVerilog linter☆326Updated this week
- SystemVerilog parser library fully compliant with IEEE 1800-2017☆418Updated 2 months ago
- VUnit is a unit testing framework for VHDL/SystemVerilog☆756Updated this week
- UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of …☆382Updated last week
- FOSS Flow For FPGA☆367Updated last week
- Repurposing existing HDL tools to help writing better code☆198Updated 7 months ago
- A dependency management tool for hardware projects.☆275Updated last month
- VHDL synthesis (based on ghdl)☆316Updated 3 weeks ago
- VHDL compiler and simulator☆653Updated this week
- Style guide enforcement for VHDL☆195Updated this week
- OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...☆232Updated 2 weeks ago
- SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compil…☆377Updated last month
- VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!☆583Updated 2 weeks ago
- SystemVerilog to Verilog conversion☆584Updated last month
- IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architecture, Faculty of Computer Science, Techn…☆562Updated 4 years ago
- A SystemVerilog Language Server☆147Updated 3 weeks ago
- An abstraction library for interfacing EDA tools☆654Updated last week
- Example designs showing different ways to use F4PGA toolchains.☆271Updated 9 months ago
- Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, …☆205Updated 2 months ago
- Test suite designed to check compliance with the SystemVerilog standard.☆306Updated this week
- VHDL Language Support for VSCode☆57Updated last month
- Open Logic FPGA Standard Library☆411Updated this week
- A huge VHDL library for FPGA development☆361Updated this week
- Multi-platform nightly builds of open source digital design and verification tools☆920Updated this week
- Experimental flows using nextpnr for Xilinx devices☆221Updated 3 months ago
- SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows☆419Updated last month
- Common SystemVerilog components☆550Updated this week
- SystemVerilog compiler and language services☆659Updated this week
- SystemVerilog synthesis tool☆176Updated this week