UCLA-VAST / CLINK
Compact LSTM inference kernel (CLINK) designed in C/HLS for FPGA implementation.
☆17Updated 5 years ago
Related projects ⓘ
Alternatives and complementary repositories for CLINK
- Quantized ResNet50 Dataflow Acceleration on Alveo, with PYNQ☆51Updated 2 years ago
- HLS implemented systolic array structure☆40Updated 6 years ago
- ☆69Updated 4 years ago
- ☆69Updated last year
- Benchmark framework of compute-in-memory based accelerators for deep neural network (on-chip training chip focused)☆45Updated 3 years ago
- RTL implementation of Flex-DPE.☆89Updated 4 years ago
- Convolutional Neural Network Using High Level Synthesis☆83Updated 4 years ago
- FracBNN: Accurate and FPGA-Efficient Binary Neural Networks with Fractional Activations☆87Updated 3 years ago
- Accelergy is an energy estimation infrastructure for accelerator energy estimations☆126Updated 2 months ago
- Training and Implementation of a CNN for image classification with binary weights and activations on FPGA with HLS tools☆47Updated 6 years ago
- A Unified Framework for Training, Mapping and Simulation of ReRAM-Based Convolutional Neural Network Acceleration☆31Updated 2 years ago
- Example of Tiny YOLO deployed using Xilinx BNN-PYNQ.☆31Updated 5 years ago
- ☆55Updated 4 years ago
- Residual Binarized Neural Network☆44Updated 6 years ago
- This project implements a convolution kernel based on vivado HLS on zcu104☆35Updated 4 years ago
- view at https://xupsh.github.io/ccc2021/☆24Updated 2 years ago
- An HLS based winograd systolic CNN accelerator☆48Updated 3 years ago
- An Synthesizable Deep Learning Library based on Xilinx High Level Synthesis(HLS) tool☆15Updated 7 years ago
- An LSTM template and a few examples using Vivado HLS☆42Updated 6 months ago
- Automatic generation of FPGA-based learning accelerators for the neural network family☆59Updated 4 years ago
- FPGA and GPU acceleration of LeNet5☆34Updated 5 years ago
- MAERI: A DNN accelerator with reconfigurable interconnects to support flexible dataflow (http://synergy.ece.gatech.edu/tools/maeri/)☆59Updated 3 years ago
- Hardware implementation of Spiking Neural Network on a PYNQ-Z1 board☆28Updated 5 years ago
- A SystemVerilog implementation of Row-Stationary dataflow and Hierarchical Mesh Network-on-Chip Architecture based on Eyeriss CNN Acceler…☆128Updated 4 years ago
- Benchmark framework of compute-in-memory based accelerators for deep neural network (inference engine focused)☆64Updated 10 months ago
- A systolic array matrix multiplier☆23Updated 5 years ago
- CHARM: Composing Heterogeneous Accelerators on Versal ACAP Architecture☆123Updated this week
- ☆16Updated 3 years ago
- DaCH: dataflow cache for high-level synthesis.☆15Updated last year
- Vitis HLS Library for FINN☆178Updated 2 weeks ago