EPFL-LAP / fpga19-MOMS
☆13Updated 4 years ago
Alternatives and similar repositories for fpga19-MOMS:
Users that are interested in fpga19-MOMS are comparing it to the libraries listed below
- A multi-banked non-blocking cache that handles efficiently thousands of outstanding misses, especially suited for bandwidth-bound latency…☆18Updated 4 years ago
- Tests for example Rocket Custom Coprocessors☆73Updated 5 years ago
- PAAS: A System Level Simulator for Heterogeneous (CPU-FPGA) Computing Systems☆43Updated 3 years ago
- ☆71Updated 10 years ago
- The gem5-X open source framework (based on the gem5 simulator)☆38Updated last year
- An open-source DRAM power model based on extensive experimental characterization of real DRAM modules. Described in the SIGMETRICS 2018 …☆38Updated 6 years ago
- Deep learning accelerator for convolutional layer (convolution operation) and fully-connected layer(matrix-multiplication).☆20Updated 6 years ago
- An example of using Ramulator as memory model in a cycle-accurate SystemC Design☆49Updated 7 years ago
- Advanced Architecture Labs with CVA6☆55Updated last year
- RiftCore is a 9-stage, single-issue, out-of-order 64-bits RISC-V Core, which supports RV64IMC and 3-level Cache System☆38Updated 2 years ago
- ☆91Updated last year
- Chisel RISC-V Vector 1.0 Implementation☆87Updated last month
- vector multiplication adder accelerator (using chisel 3 and RocketChip RoCC ) 向量乘法累加加速器☆51Updated 4 years ago
- A 32-bit RISC-V Processor Designed with High-Level Synthesis☆52Updated 5 years ago
- Pure digital components of a UCIe controller☆57Updated last week
- Examples for creating AXI-interfaced peripherals in Chisel☆74Updated 9 years ago
- CGRA Compilation Framework☆83Updated last year
- ☆32Updated this week
- DEPRECATED. Please use Chipyard (https://github.com/ucb-bar/chipyard) to build BOOM☆35Updated 5 years ago
- gem5 repository to study chiplet-based systems☆70Updated 5 years ago
- cycle accurate Network-on-Chip Simulator☆27Updated last year
- RTL sources of the High-Performance L1 Dcache (HPDcache) for OpenHW CV cores☆68Updated this week
- Centaur, a framework for hybrid CPU-FPGA databases☆27Updated 7 years ago
- Basic floating-point components for RISC-V processors☆65Updated 5 years ago
- Transactional Verilog design and Verilator Testbench for a RISC-V TensorCore Vector co-processor for reproducible linear algebra☆51Updated 3 years ago
- The RTL source for AnyCore RISC-V☆31Updated 3 years ago
- Implementations of Buffets, which are efficient, composable idioms for implementing Explicit Decoupled Data Orchestration.☆69Updated 5 years ago
- Ratatoskr NoC Simulator☆24Updated 3 years ago
- High Bandwidth Memory (HBM) timing model based on DRAMSim2☆41Updated 7 years ago
- ☆53Updated 4 years ago