wavedrom / cliLinks
CLI for WaveDrom
☆62Updated last year
Alternatives and similar repositories for cli
Users that are interested in cli are comparing it to the libraries listed below
Sorting:
- Streaming based VHDL parser.☆84Updated 11 months ago
- Simple parser for extracting VHDL documentation☆71Updated 11 months ago
- Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)☆66Updated 4 months ago
- Limited python / cocotb interface to Xilinx/AMD Vivado simulator.☆44Updated 5 months ago
- A command-line tool for displaying vcd waveforms.☆59Updated last year
- Verilog wishbone components☆115Updated last year
- Python script to transform a VCD file to wavedrom format☆77Updated 2 years ago
- Documentation with code examples about interfacing VHDL with foreign languages and tools through GHDL☆48Updated this week
- An implementation of WaveDrom which outputs TikZ for use in LaTeX documents.☆44Updated 3 years ago
- ☆79Updated last year
- HDL symbol generator☆190Updated 2 years ago
- Specification of the Wishbone SoC Interconnect Architecture☆45Updated 3 years ago
- Python/C/RTL cosimulation with Xilinx's xsim simulator☆70Updated 9 months ago
- OSVVM Documentation☆34Updated last week
- WaveDrom compatible python command line☆105Updated 2 years ago
- WAL enables programmable waveform analysis.☆154Updated 3 weeks ago
- Start here. Includes all other OSVVM libraries as submodules: Utility, Common, Verification Component, and Script.☆64Updated this week
- FPGA and Digital ASIC Build System☆74Updated last week
- Building and deploying container images for open source electronic design automation (EDA)☆114Updated 8 months ago
- Render waveforms inside VSCode with WaveDrom☆37Updated last month
- Vivado build system☆69Updated 6 months ago
- Generate SystemVerilog RTL that implements a register block from compiled SystemRDL input.☆67Updated last week
- VHDL-2008 Support Library☆57Updated 8 years ago
- A JSON library implemented in VHDL.☆79Updated 2 years ago
- Web-based HDL diagramming tool☆79Updated 2 years ago
- An open-source HDL register code generator fast enough to run in real time.☆71Updated this week
- 🔍 Zoomable Waveform viewer for the Web☆43Updated 4 years ago
- I2C models for cocotb☆35Updated 3 months ago
- Control and Status Register map generator for HDL projects☆116Updated last month
- FuseSoC standard core library☆144Updated last month