wallento / wavedrompy
WaveDrom compatible python command line
☆100Updated last year
Alternatives and similar repositories for wavedrompy:
Users that are interested in wavedrompy are comparing it to the libraries listed below
- Generate SystemVerilog RTL that implements a register block from compiled SystemRDL input.☆55Updated last month
- Control and status register code generator toolchain☆111Updated last month
- Simple parser for extracting VHDL documentation☆71Updated 6 months ago
- Python script to transform a VCD file to wavedrom format☆75Updated 2 years ago
- HDL symbol generator☆187Updated last year
- Generate address space documentation HTML from compiled SystemRDL input☆48Updated 4 months ago
- FuseSoC standard core library☆125Updated this week
- Python packages providing a library for Verification Stimulus and Coverage☆116Updated 4 months ago
- D3.js based wave (signal) visualizer☆61Updated last year
- FPGA and Digital ASIC Build System☆72Updated this week
- Streaming based VHDL parser.☆81Updated 6 months ago
- ☆76Updated 10 months ago
- Running Python code in SystemVerilog☆67Updated 6 months ago
- Documentation with code examples about interfacing VHDL with foreign languages and tools through GHDL☆47Updated this week
- Control and Status Register map generator for HDL projects☆109Updated this week
- Create WaveJSON from VCD file. WaveDrom can convert it to timing diagram.☆35Updated 6 months ago
- Python/C/RTL cosimulation with Xilinx's xsim simulator☆65Updated 4 months ago
- OSVVM Documentation☆32Updated last month
- An abstract language model of VHDL written in Python.☆50Updated this week
- ideas and eda software for vlsi design☆48Updated 3 weeks ago
- Digitally synthesizable architecture for SerDes using Skywater Open PDK 130 nm technology.☆149Updated 2 years ago
- Python bindings for slang, a library for compiling SystemVerilog☆55Updated last week
- ☆26Updated last year
- Verilog wishbone components☆113Updated last year
- Pre-packaged testbenching tools and reusable bus interfaces for cocotb☆60Updated 3 months ago
- Sphinx Extension which generates various types of diagrams from Verilog code.☆56Updated last year
- hardware library for hwt (= ipcore repo)☆35Updated 2 months ago
- VHDL-2008 Support Library☆57Updated 8 years ago
- Create fast and efficient standard cell based adders, multipliers and multiply-adders.☆110Updated last year
- Wavious DDR (WDDR) Physical interface (PHY) Hardware☆99Updated 3 years ago