Digilent / axi4lite_ip_gen
Generates simple AXI4-lite IP for use in Vivado from register specifications
☆12Updated last year
Related projects ⓘ
Alternatives and complementary repositories for axi4lite_ip_gen
- CES VHDL utility library, with packages, memories, FIFOs, Clock Domain Crossing and more useful VHDL modules☆11Updated 2 years ago
- Open FPGA Modules☆23Updated last month
- Common elements for FPGA Design (FIFOs, RAMs, etc.)☆30Updated 2 months ago
- VHDL library of high abstraction level synthesizable mathematical functions for multiplication, division and sin/cos functionalities and …☆22Updated last week
- Slides and material for Xilinx bootcamp☆19Updated 3 years ago
- Fixed-point library with bittrue implementations in VHDL (for FPGA) and python (for simulation)☆22Updated 4 months ago
- Open source ISS and logic RISC-V 32 bit project☆40Updated this week
- Fixed-point math library with VHDL, Python and MATLAB support☆18Updated 3 months ago
- Solving Sudokus using open source formal verification tools☆15Updated 2 years ago
- Start here. Includes all other OSVVM libraries as submodules: Utility, Common, Verification Component, and Script.☆52Updated last week
- Wishbone interconnect utilities☆37Updated 5 months ago
- This store contains Configurable Example Designs.☆42Updated this week
- UART to AXI Stream interface written in VHDL☆16Updated 2 years ago
- Python/C/RTL cosimulation with Xilinx's xsim simulator☆64Updated 2 months ago
- The ILA allows you to perform in-system debugging of your designs on the GateMate FPGA at runtime. All signals of your design inside the …☆48Updated last week
- RTL development of Quad Serial Peripheral Interface (Quad-SPI) on QuestaSim using SystemVerilog.☆18Updated 2 years ago
- ☆24Updated 2 years ago
- Extensible FPGA control platform☆54Updated last year
- The CORE-V CVA5 is an Application class 5-stage RISC-V CPU specifically targetting FPGA implementations.☆63Updated 7 months ago
- DSP with FPGAs 4. edition ISBN: 978-3-642-45308-3☆41Updated 2 years ago
- Slides and lab instructions for the mastering MicroBlaze session☆33Updated 2 years ago
- ☆16Updated 4 months ago
- FPGA based microcomputer sandbox for software and RTL experimentation☆45Updated this week
- Example designs for using Ethernet FMC without a processor (ie. state machine based)☆28Updated this week
- ☆32Updated last year
- ♻️ Convert the NEORV32 processor into a synthesizable plain-Verilog netlist module using GHDL.☆66Updated this week
- IEEE 754 single and double precision floating point library in systemverilog and vhdl☆59Updated last month
- Designing Relocatable FPGA Partitions with Vivado Design Suite☆10Updated 6 years ago
- A tool for merging the MyHDL workflow with Vivado☆19Updated 4 years ago
- An SPI to AXI4-lite bridge for easy interfacing of airhdl register banks with any microcontroller.☆43Updated 11 months ago