chipsalliance / verible-linter-action

Automatic SystemVerilog linting in github actions with the help of Verible
24Updated 2 months ago

Related projects: