erihsu / Sym-CTS
symmetric clock tree synthesis for NTV IC design
☆10Updated 3 years ago
Alternatives and similar repositories for Sym-CTS:
Users that are interested in Sym-CTS are comparing it to the libraries listed below
- This repository has a list of collaterals needed for ICC2 workshop. It has a modified version of raven_soc which was taped-out by Efables…☆31Updated 4 years ago
- This is the FreePDK45 V1.4 Process Development Kit for the 45 nm technology☆24Updated 4 years ago
- Source code for LEF/DEF☆11Updated 6 years ago
- Bounded-Skew DME v1.3☆14Updated 6 years ago
- Parser for LEF library files☆36Updated 4 years ago
- Assertion-Based Formal Verification of an AHB2APB bridge, featuring SystemVerilog assertions, RTL designs, and detailed documentation inc…☆15Updated last year
- ☆23Updated 4 years ago
- A LEF/DEF Utility.☆28Updated 5 years ago
- A set of Python based parsers for multiple file format used in IC chip design, including Verilog, SPICE, lib (Synopsys Liberty).☆31Updated 9 years ago
- Introductory course into static timing analysis (STA).☆93Updated 2 weeks ago
- Asynchronous fifo in verilog☆33Updated 9 years ago
- ☆67Updated this week
- UVM register utility generation by inputting xls table☆36Updated last year
- Sample UVM code for axi ram dut☆32Updated 3 years ago
- DDR5 PHY Graduation project (Verification Team) under supervision of Si-Vision☆54Updated last year
- Static Timing Analysis Full Course☆54Updated 2 years ago
- This is a tutorial on standard digital design flow☆76Updated 3 years ago
- ☆51Updated 2 years ago
- soc integration script and integration smoke script☆22Updated 2 years ago
- Design of 1024x32 SRAM (32Kbits) using OpenRAM and SKY130 PDKs with operating voltage of 1.8V and access time < 2.5ns☆66Updated 4 years ago
- In this tutorial, you learn how to implement a design from RTL-to-GDSII using Cadence® tools.☆57Updated last year
- ☆43Updated 3 years ago
- Advance UVM testbench with DPI integration, Assertions, Functional Coverage andHierarchical Sequence☆32Updated 4 years ago
- reference block design for the ASAP7nm library in Cadence Innovus☆42Updated 10 months ago
- SystemVerilog UVM testbench example☆31Updated last year
- A free standard cell library for SDDS-NCL circuits☆27Updated 2 years ago
- ☆43Updated last year
- SoCGen is a tool that automates SoC design by taking in a JSON description of the system and producing the final GDS-II. SoCGen supports …☆38Updated 4 years ago
- DOULOS Easier UVM Code Generator☆34Updated 8 years ago
- Verification IP for APB protocol☆26Updated 4 years ago