erihsu / Sym-CTS
symmetric clock tree synthesis for NTV IC design
☆10Updated 2 years ago
Alternatives and similar repositories for Sym-CTS:
Users that are interested in Sym-CTS are comparing it to the libraries listed below
- ☆22Updated 3 years ago
- A LEF/DEF Utility.☆27Updated 5 years ago
- Bounded-Skew DME v1.3☆14Updated 6 years ago
- ☆63Updated this week
- Source code for LEF/DEF☆11Updated 6 years ago
- A set of Python based parsers for multiple file format used in IC chip design, including Verilog, SPICE, lib (Synopsys Liberty).☆31Updated 9 years ago
- Mirror of Synopsys's Liberty parser library☆20Updated 6 years ago
- This is a tutorial on standard digital design flow☆75Updated 3 years ago
- This is the FreePDK45 V1.4 Process Development Kit for the 45 nm technology☆23Updated 4 years ago
- Intel's Analog Detailed Router☆38Updated 5 years ago
- Python-based Verilog Parser (currently Netlist only)☆54Updated 7 years ago
- Open-sourced utilities for initial flow setup, calibration, and other user functions for OpenROAD project☆19Updated 5 years ago
- LEF/DEF-based port of Iowa State's open-source FastRoute 4.1☆54Updated 4 years ago
- Assertion-Based Formal Verification of an AHB2APB bridge, featuring SystemVerilog assertions, RTL designs, and detailed documentation inc…☆15Updated last year
- UVM Auto Generate ; Verify Project Build; Verilog Instance☆34Updated 4 years ago
- Parser for LEF library files☆34Updated 4 years ago
- Design of 1024x32 SRAM (32Kbits) using OpenRAM and SKY130 PDKs with operating voltage of 1.8V and access time < 2.5ns☆66Updated 3 years ago
- A Python library that reads LEF and DEF files, extract the RC parasitics and generate their corresponding SPEF file.☆15Updated 4 years ago
- ☆41Updated 6 months ago
- ☆43Updated last year
- Material for OpenROAD Tutorial at DAC 2020☆47Updated 2 years ago
- Introductory course into static timing analysis (STA).☆90Updated 5 months ago
- Educational Design Kit for Synopsys Tools with a set of Characterized Standard Cell Library☆33Updated 3 years ago
- liberty parser (For parsing IC timing lib file)☆54Updated last year
- ☆22Updated 2 years ago
- This repository contains all the information needed to run RTL2GDSII flow using openlane flow. Apart from that, it also contain procedure…☆65Updated 4 years ago
- This repository contains all the contents studied and created during the Advanced Physical Design Workshop using OpenLANE and SKY130 PDK☆38Updated 3 years ago
- DATC RDF☆49Updated 4 years ago
- ☆147Updated 3 weeks ago
- Sample UVM code for axi ram dut☆31Updated 3 years ago