dan-fritchman / Layout21Links
Integrated Circuit Layout
☆54Updated 3 months ago
Alternatives and similar repositories for Layout21
Users that are interested in Layout21 are comparing it to the libraries listed below
Sorting:
- Interchange formats for chip design.☆31Updated 3 weeks ago
- Hardware Description Library☆78Updated last month
- ☆41Updated 2 years ago
- SPICE for the 21st Century☆35Updated 2 years ago
- BAG framework☆40Updated 10 months ago
- Fully defined liberty (std. cells in VLSI) data structure, efficient parser & formatter☆14Updated last week
- skywater 130nm pdk☆28Updated 2 weeks ago
- Parsing and generating popular formats of circuit netlist☆33Updated 2 years ago
- This repository is for (pre-)release versions of the Revolution EDA.☆42Updated this week
- 21st century electronic design automation tools, written in Rust.☆30Updated last week
- Hdl21 Schematics☆14Updated last year
- Translates GDSII into HTML/JS that can be viewed in WebGL-capable web browsers.☆55Updated 4 years ago
- wellen: waveform datastructures in Rust. Fast VCD, FST and GHW parsing for waveform viewers.☆65Updated 3 weeks ago
- Primitives for GF180MCU provided by GlobalFoundries.☆49Updated last year
- Open-source repository for a standard-cell library characterizer using complete open-source tools☆30Updated last month
- Open source process design kit for 28nm open process☆56Updated last year
- Python port of Prof. Boris Murmann's gm/ID Starter Kit☆54Updated 7 years ago
- Conda recipes for FPGA EDA tools for simulation, synthesis, place and route and bitstream generation.☆101Updated 4 months ago
- Intel's Analog Detailed Router☆38Updated 5 years ago
- An automatic clock gating utility☆48Updated last month
- Verilog-A simulation models☆72Updated this week
- A configurable SRAM generator☆50Updated this week
- Open-source RHBD (Radiation Hardened by Design) Standard-Cell Library for SKY130☆6Updated last week
- D3.js based wave (signal) visualizer☆62Updated last year
- This is the XDM netlist converter, used to convert PSPICE and HSPICE netists into Xyce format.☆19Updated last year
- repository for a bandgap voltage reference in SKY130 technology☆38Updated 2 years ago
- Netgen complete LVS tool for comparing SPICE or verilog netlists☆117Updated 2 weeks ago
- Circuit Automatic Characterization Engine☆49Updated 3 months ago
- ☆22Updated 4 years ago
- ☆15Updated 2 months ago