dan-fritchman / Layout21
Integrated Circuit Layout
☆51Updated last month
Alternatives and similar repositories for Layout21:
Users that are interested in Layout21 are comparing it to the libraries listed below
- Interchange formats for chip design.☆29Updated this week
- Hardware Description Library☆79Updated last month
- 21st century electronic design automation tools, written in Rust.☆29Updated this week
- ☆39Updated last year
- Parsing and generating popular formats of circuit netlist☆32Updated 2 years ago
- BAG framework☆40Updated 8 months ago
- skywater 130nm pdk☆27Updated last week
- Open-source repository for a standard-cell library characterizer using complete open-source tools☆26Updated 3 weeks ago
- This repository is for (pre-)release versions of the Revolution EDA.☆42Updated last week
- ☆22Updated 4 years ago
- Hdl21 Schematics☆14Updated last year
- Python port of Prof. Boris Murmann's gm/ID Starter Kit☆54Updated 7 years ago
- A configurable SRAM generator☆47Updated 2 months ago
- An automatic clock gating utility☆45Updated 8 months ago
- Open source process design kit for 28nm open process☆51Updated 11 months ago
- This is the XDM netlist converter, used to convert PSPICE and HSPICE netists into Xyce format.☆19Updated last year
- Translates GDSII into HTML/JS that can be viewed in WebGL-capable web browsers.☆52Updated 4 years ago
- Qrouter detail router for digital ASIC designs☆56Updated 5 months ago
- repository for a bandgap voltage reference in SKY130 technology☆37Updated 2 years ago
- An innovative Verilog-A compiler☆143Updated 7 months ago
- Determines the modules declared and instantiated in a SystemVerilog file☆43Updated 6 months ago
- ☆53Updated last year
- KLayout technology files for Skywater SKY130☆39Updated last year
- Conda recipes for FPGA EDA tools for simulation, synthesis, place and route and bitstream generation.☆100Updated 2 months ago
- Minimal SKY130 example with self-checking LVS, DRC, and PEX☆23Updated 4 years ago
- Verilog-A simulation models☆65Updated 2 months ago
- ☆21Updated 3 years ago
- Design of Analog Blocks in Skywaters 130nm meeting corners: different flavors of OTA, BandGap, LDO.☆26Updated 2 years ago
- SPICE for the 21st Century☆29Updated 2 years ago
- AMC: Asynchronous Memory Compiler☆48Updated 4 years ago