dan-fritchman / Layout21
Integrated Circuit Layout
☆53Updated last month
Alternatives and similar repositories for Layout21:
Users that are interested in Layout21 are comparing it to the libraries listed below
- Interchange formats for chip design.☆29Updated 3 weeks ago
- Parsing and generating popular formats of circuit netlist☆34Updated 2 years ago
- 21st century electronic design automation tools, written in Rust.☆29Updated this week
- Hardware Description Library☆78Updated 2 weeks ago
- ☆41Updated 2 years ago
- BAG framework☆40Updated 8 months ago
- Fully defined liberty (std. cells in VLSI) data structure, efficient parser & formatter☆13Updated last week
- Open-source repository for a standard-cell library characterizer using complete open-source tools☆27Updated this week
- Verilog-A simulation models☆69Updated 3 months ago
- Determines the modules declared and instantiated in a SystemVerilog file☆44Updated 7 months ago
- Python port of Prof. Boris Murmann's gm/ID Starter Kit☆54Updated 7 years ago
- An innovative Verilog-A compiler☆148Updated 8 months ago
- wellen: waveform datastructures in Rust. Fast VCD, FST and GHW parsing for waveform viewers.☆56Updated last week
- ☆22Updated 4 years ago
- Hdl21 Schematics☆14Updated last year
- Primitives for GF180MCU provided by GlobalFoundries.☆49Updated last year
- skywater 130nm pdk☆28Updated last month
- An automatic clock gating utility☆47Updated last week
- This repository is for (pre-)release versions of the Revolution EDA.☆42Updated last week
- ☆45Updated 2 months ago
- Conda recipes for FPGA EDA tools for simulation, synthesis, place and route and bitstream generation.☆100Updated 2 months ago
- A configurable SRAM generator☆47Updated 3 months ago
- repository for a bandgap voltage reference in SKY130 technology☆38Updated 2 years ago
- Open source process design kit for 28nm open process☆53Updated last year
- Converts GDSII files to STL files.☆37Updated last year
- This is the XDM netlist converter, used to convert PSPICE and HSPICE netists into Xyce format.☆19Updated last year
- Intel's Analog Detailed Router☆38Updated 5 years ago
- Coriolis VLSI EDA Tool (LIP6)☆63Updated last week
- System Design in Python (SyDPy) is a tool for design and verification of concurrent systems. The tool is offered as an alternative to Sys…☆12Updated 8 years ago
- Translates GDSII into HTML/JS that can be viewed in WebGL-capable web browsers.☆54Updated 4 years ago