DfX-NYUAD / PSATLinks
This is a probabilistic SAT attack tool.
☆14Updated 4 years ago
Alternatives and similar repositories for PSAT
Users that are interested in PSAT are comparing it to the libraries listed below
Sorting:
- A basic implementation of a SAT attack on logic locking.☆13Updated 4 years ago
- ☆21Updated last year
- Generator of arithmetic circuits (multipliers, adders) and approximate circuits☆39Updated 4 months ago
- EPFL and ISCAS85 combinational benchmark circuits in generic gate verilog☆29Updated 5 years ago
- ☆223Updated 9 months ago
- Lock circuitgraphs using various logic locking techniques☆10Updated 2 years ago
- Atalanta is a modified ATPG (Automatic Test Pattern Generation) tool and fault simulator, orginally from VirginiaTech University.☆85Updated last year
- FAN (fan-out-oriented) ATPG (Automatic Test Pattern Generation) and Fault Simulation command line tool☆103Updated 6 months ago
- AutoSVA is a tool to automatically generate formal testbenches for unit-level RTL verification. The goal is to, based on annotations made…☆96Updated last year
- SystemVerilog synthesis tool☆223Updated 9 months ago
- Open Source tool to build liberty files and for Characterizing Standard Cells.☆28Updated 4 years ago
- ASIC Design Kit for FreePDK45 + Nangate for use with mflowgen☆196Updated 5 years ago
- A logic synthesis tool☆84Updated 3 months ago
- Tools for working with circuits as graphs in python☆127Updated 2 years ago
- IDEA project source files☆111Updated 2 months ago
- A dynamic verification library for Chisel.☆159Updated last year
- [FPGA 2022, Best Paper Award] Parallel placement and routing of Vivado HLS dataflow designs.☆127Updated 3 years ago
- mflowgen -- A Modular ASIC/FPGA Flow Generator☆275Updated 3 weeks ago
- A Standalone Structural Verilog Parser☆99Updated 3 years ago
- ☆82Updated last year
- A collection of ISCAS,ITC,TAU and other Benchmark Circuits for EDA tool evaluation.☆60Updated 11 months ago
- Standard Cell Library based Memory Compiler using FF/Latch cells☆162Updated last month
- A complete open-source design-for-testing (DFT) Solution☆174Updated 4 months ago
- The Common Evaluation Platform (CEP), based on UCB's Chipyard Framework, is an SoC design that contains only license-unencumbered, freel…☆67Updated 3 years ago
- Collection of digital hardware modules & projects (benchmarks)☆75Updated last month
- ☆183Updated 4 years ago
- Project repo for the POSH on-chip network generator☆52Updated 9 months ago
- Next generation CGRA generator☆118Updated last week
- This repository is dedicated to providing a comprehensive guide and practical examples for using VC Formal for formal verification. Our g…☆43Updated last year
- Implementing Different Adder Structures in Verilog☆76Updated 6 years ago