DfX-NYUAD / PSATLinks
This is a probabilistic SAT attack tool.
☆14Updated 4 years ago
Alternatives and similar repositories for PSAT
Users that are interested in PSAT are comparing it to the libraries listed below
Sorting:
- A basic implementation of a SAT attack on logic locking.☆12Updated 3 years ago
- EPFL and ISCAS85 combinational benchmark circuits in generic gate verilog☆27Updated 5 years ago
- SMT Attack☆21Updated 4 years ago
- AutoSVA is a tool to automatically generate formal testbenches for unit-level RTL verification. The goal is to, based on annotations made…☆83Updated last year
- ☆21Updated 11 months ago
- Open Source tool to build liberty files and for Characterizing Standard Cells.☆27Updated 4 years ago
- ☆20Updated 5 years ago
- ☆81Updated last year
- This repository is dedicated to providing a comprehensive guide and practical examples for using VC Formal for formal verification. Our g…☆28Updated last year
- CocoAlma is an execution-aware tool for formal verification of masked implementations☆22Updated 8 months ago
- A collection of ISCAS,ITC,TAU and other Benchmark Circuits for EDA tool evaluation.☆48Updated 4 months ago
- Generator of arithmetic circuits (multipliers, adders) and approximate circuits☆34Updated 4 months ago
- FAN (fan-out-oriented) ATPG (Automatic Test Pattern Generation) and Fault Simulation command line tool☆90Updated last year
- fakeram generator for use by researchers who do not have access to commercial ram generators☆37Updated 2 years ago
- reference block design for the ASAP7nm library in Cadence Innovus☆44Updated 11 months ago
- IEEE 754 single and double precision floating point library in systemverilog and vhdl☆65Updated 5 months ago
- Equivalence checking with Yosys☆43Updated last month
- Collection of digital hardware modules & projects (benchmarks)☆59Updated 3 weeks ago
- A logic synthesis tool☆73Updated last month
- Source files to reproduce the results shown for A-QED at DAC 2020☆9Updated 4 years ago
- OpenDesign Flow Database☆16Updated 6 years ago
- ITC'99 benchmarks developed in the CAD Group at Politecnico di Torino☆54Updated 3 weeks ago
- AMC: Asynchronous Memory Compiler☆48Updated 4 years ago
- IDEA project source files☆106Updated 6 months ago
- Standard Cell Library based Memory Compiler using FF/Latch cells☆147Updated 11 months ago
- OpenPiton Design Benchmark☆25Updated 2 years ago
- ☆32Updated 4 months ago
- Introductory course into static timing analysis (STA).☆94Updated last month
- Supplemental technology files for ASAP7 PDK with Synopsys design flow☆13Updated 2 years ago
- A tool that converts SystemVerilog to Verilog. Uses Design Compiler, so it is 100% compatible.☆41Updated 2 years ago