MahmouodMagdi / Clock-Domain-Crossing-Synchronizers
Developed with the aim of providing engineers and designers with a centralized resource, this repository serves as a valuable reference for understanding and implementing robust clock domain crossing solutions in digital systems.
☆56Updated 11 months ago
Alternatives and similar repositories for Clock-Domain-Crossing-Synchronizers:
Users that are interested in Clock-Domain-Crossing-Synchronizers are comparing it to the libraries listed below
- Static Timing Analysis Full Course☆49Updated 2 years ago
- ☆14Updated last year
- In this tutorial, you learn how to implement a design from RTL-to-GDSII using Cadence® tools.☆44Updated last year
- I am a VLSI enthusiast and I'm going to start my journey of 100 days of RTL.☆22Updated last year
- This repo is created to include illustrative examples on object oriented design pattern in SV☆55Updated 2 years ago
- Various RTL design blocks along with verification testbenches with SVAs. Designed using SystemVerilog☆25Updated 2 years ago
- ☆41Updated 3 years ago
- SystemVerilog Direct Programming Interface (DPI) Tutorial☆53Updated 4 years ago
- ☆150Updated 2 years ago
- 100DaysofRTL & System Verilog design: basic logic gates, mux, half/full subtractor, Encoder, D flipflop, 8 bit counter, LFSR, Custom Coun…☆33Updated 2 years ago
- Advanced encryption standard (AES) algorithm has been widely deployed in cryptographic applications. This work proposes a low power and h…☆20Updated 3 years ago
- ☆12Updated last month
- This is the repository for the IEEE version of the book☆57Updated 4 years ago
- ☆16Updated last year
- General Purpose AXI Direct Memory Access☆49Updated 10 months ago
- Documentation for the 5 day workshop: Advanced Physical Design using OpenLane/Sky130☆55Updated 2 years ago
- SystemVerilog UVM testbench example☆30Updated 10 months ago
- 5 Day TCL begginer to advanced training workshop by VSD☆16Updated last year
- Asynchronous fifo in verilog☆33Updated 8 years ago
- Introductory course into static timing analysis (STA).☆86Updated 4 months ago
- I present a novel pipelined fast Fourier transform (FFT) architecture which is capable of producing the output sequence in normal order. …☆39Updated last year
- This repository contains all the contents studied and created during the Advanced Physical Design Workshop using OpenLANE and SKY130 PDK☆38Updated 3 years ago
- This repo provide an index of VLSI content creators and their materials☆145Updated 6 months ago
- Python Tool for UVM Testbench Generation☆50Updated 10 months ago
- Repository gathering basic modules for CDC purpose☆53Updated 5 years ago
- Xilinx AXI VIP example of use☆34Updated 3 years ago
- ☆40Updated 3 years ago
- Functional Coverage and Constrained Randomization Extensions for Cocotb☆109Updated last year
- Pre-packaged testbenching tools and reusable bus interfaces for cocotb☆62Updated 5 months ago