gokulbalagopal / Verification-of-FIFO-using-SystemVerilog

Built a test environment using SystemVerilog to verify FIFO. Used QuestaSim to design and verify the module in SystemVerilog and Verilog. Created components like generator, driver, monitor, scoreboard, interface, environment, and testbench.
21Updated 5 years ago

Related projects: