ATaylorCEngFIET / Building-Accelerated-Applications-with-Vitis
Support material for the Building Accelerated Applications with Vitis webinar series
☆16Updated 4 years ago
Alternatives and similar repositories for Building-Accelerated-Applications-with-Vitis:
Users that are interested in Building-Accelerated-Applications-with-Vitis are comparing it to the libraries listed below
- ☆27Updated 5 years ago
- Quantized ResNet50 Dataflow Acceleration on Alveo, with PYNQ☆54Updated 3 years ago
- This project is trying to create a base vitis platform to run with DPU☆47Updated 4 years ago
- DaCH: dataflow cache for high-level synthesis.☆15Updated last year
- ☆83Updated 7 months ago
- ☆33Updated 2 weeks ago
- ☆56Updated 4 years ago
- PYNQ Composabe Overlays☆69Updated 7 months ago
- Simple examples for FPGA design using Vivado HLS for high level synthesis and Vivado for bitstream generation.☆27Updated 4 years ago
- Automatic generation of FPGA-based learning accelerators for the neural network family☆60Updated 5 years ago
- ☆23Updated 4 years ago
- AMD University Program HLS tutorial☆71Updated 2 months ago
- Get Moving with Pynq on Alveo U50☆10Updated 4 years ago
- [FPGA'21] Microbenchmarks for Demystifying the Memory System of Modern Datacenter FPGAs for Software Programmers☆29Updated 3 years ago
- Contains FPGA benchmarks for Vivado HLS and Catapult HLS☆26Updated 4 years ago
- Tutorials on HLS Design☆51Updated 5 years ago
- Convolutional Neural Network Using High Level Synthesis☆84Updated 4 years ago
- HLS implemented systolic array structure☆41Updated 7 years ago
- A Tutorial on Putting High-Level Synthesis cores in PYNQ☆103Updated 6 years ago
- Introductory examples for using PYNQ with Alveo☆49Updated last year
- MAERI: A DNN accelerator with reconfigurable interconnects to support flexible dataflow (http://synergy.ece.gatech.edu/tools/maeri/)☆60Updated 3 years ago
- ☆116Updated 3 years ago
- ☆33Updated 5 years ago
- Board files to build Ultra 96 PYNQ image☆153Updated last month
- ☆71Updated last year
- Lab code for three-day lecture, "Designing CNN Accelerators using Bluespec System Verilog", given at SNU in December 2017☆26Updated 6 years ago
- Light-weighted neural network inference for object detection on small-scale FPGA board☆91Updated 5 years ago
- FPGA and GPU acceleration of LeNet5☆35Updated 5 years ago
- Vitis HLS Library for FINN☆188Updated last month
- Rosetta: A Realistic High-level Synthesis Benchmark Suite for Software Programmable FPGAs☆165Updated last year