soldag / python-pwmled

Control LEDs connected to a micro controller using pulse-width modulation.
11Updated 11 months ago

Related projects: